K20系列产品概述

Size: px
Start display at page:

Download "K20系列产品概述"

Transcription

1 飞思卡尔半导体产品概述 文档号 : K20BZHS 第 2 版, 2010 年 11 月 K20 系列产品概述适用于所有 K20 微控制器 1 Kinetis 产品组合 Kinetis 是基于 ARM Cortex TM -M4 具有超强可扩展性的低功耗 混合信号微控制器 第一阶段产品由五个微控制器系列组成, 包含超过两百种器件, 在引脚 外设和软件上可兼容 每个系列提供了不同的性能, 存储器和外设特性 通过通用外设 存储器映射和封装的一致性来实现系列内和各系列间的便捷移植 Kinetis 微控制器基于飞思卡尔创新的 90 纳米薄膜存储器 (TFS) 闪存技术, 具有独特的 Flex 存储器 ( 可配置的内嵌 EEROM) Kinetis 微控制器系列融合了最新的低功耗革新技术, 具有高性能 高精度的混合信号能力, 宽广的互连性, 人机接口和安全外设 飞思卡尔公司以及其他大量的 ARM 第三方应用商提供对 Kinetis 微控制器的应用支持 目录 1 Kinetis 产品组合 K20 系列介绍 K20 模块结构图 特性 K20 系列 MCU 的共性 Flex 存储器 器件号和封装信息 K20 系列特性 模块特性 功耗模式 开发环境 支持 Freescale 的塔式系统 CodeWarrior 开发组件 飞思卡尔的 MQX TM 软件解决方案 额外提供的软件栈 修订记录 飞思卡尔半导体 ( 中国 ) 有限公司, 版权所有.

2 Kinetis 产品组合 图 1. Kenetis 微控制器产品组合 所有的 Kinetis 系列包含丰富的模拟 通信和定时控制外设, 提供多种闪存容量和输入输出引脚数量 所有 Kinetis 系列都具有以下特性 : 内核 : ARM Cortex-M4 内核带 DS 指令, 性能可达 1.25 DMIS/MH ( 部分 Kinetis 系列提供浮点单元 ) 多达 32 通道的 DMA 可用于外设和存储器数据传输并减少 CU 干预 提供不同级别的 CU 频率 50 MH 72 MH 和 100 MH ( 部分 Kinetis 系列提供 120 MH 和 150 MH ) 极低的功耗 : 10 种低功耗操作模式用于优化外设活动和唤醒时间以延长电池的寿命 低漏唤醒单元 低功耗定时器和低功耗 RTC 可以更加灵活地实现低功耗 行业领先的快速唤醒时间 存储器 : 内存空间可扩展, 从 32 KB 闪存 / 8 KB RAM 到 1 MB 闪存 / 128 KB RAM 多个独立的闪存模块使同时进行代码执行和固件升级成为可能 可选的 16 KB 缓存用于优化总线带宽和闪存执行性能 Flex 存储器具有高达 512 KB 的 FlexNVM 和高达 16 KB 的 FlexRAM FlexNVM 能够被分区以支持额外的程序闪存 ( 例如引导加载程序 ) 数据闪存 ( 例如存储大表 ) 或者 EEROM 备份 FlexRAM 支持 EEROM 字节写 / 字节擦除操作, 并且指示最大 EEROM 空间 EEROM 最高超过一千万次的使用寿命 EEROM 擦除 / 写速度远高于传统的 EEROM 模拟混合信号 : 快速 高精度的 16 位 ADC 12 位 DAC 可编程增益放大器 高速比较器和内部电压参考 提供强大的信号调节 转换和分析性能的同时降低了系统成本 2 飞思卡尔半导体

3 Kinetis 产品组合 人机接口 (HMI): 低功耗感应触摸传感接口在所有低功耗模式均可工作 连接性和通信 : UART 支持 ISO7816 和 IrDA, I 2 S CAN I 2 C 和 SI 可靠性和安全性 : 硬件循环冗余校验引擎用于验证存储器内容 通信数据和增加的系统可靠性 独立时钟工作的 CO 用于防止代码跑飞 外部看门狗监控 定时和控制 : 强大的 FlexTimers 支持通用 WM 和电机控制功能 载波调制器发射器用于产生红外波形 可编程中断定时器用于 RTOS 任务调度或者为 ADC 转换和可编程延迟模块提供触发源 外部接口 : 多功能外部总线接口提供和外部存储器 门阵列逻辑或 LCD 的接口 系统 : 5 V 容限的 GIO 带引脚中断功能 从 1.71 V 到 3.6 V 的宽操作电压范围, 闪存编程电压低至 1.71 V, 并且此时闪存和模拟外设所有功能正常 运行温度 -40 C 到 105 C 除了以上共性, 下表中列出了各 Kinetis 系列所特有的性能 图 2. Kinetis 系列微控制器特性 飞思卡尔半导体 3

4 K20 系列介绍 2 K20 系列介绍 K20 微控制器系列在引脚 外设和软件上和 K10 微控制器系列完全兼容, 并在其基础上增加了带充电检测的全速和高速 USB 2.0 On-The-Go 功能 本系列器件包含丰富的模拟 通信 定时和控制外设, 从 5 5 的 32 QFN 封装 32 KB 闪存开始可扩展到 144 MABGA 1MB 闪存 大存储器的 K20 系列器件还可提供可选的单精度浮点单元和 NAND 闪存控制器 3 K20 模块结构图 下图为 K20 系列器件的模块结构总图 本系列中的各具体器件的功能特性为图中总功能特性的子集 4 飞思卡尔半导体

5 K20 模块结构图 图 3. K20 模块结构图 飞思卡尔半导体 5

6 4 特性 4.1 K20 系列 MCU 的共性 K20 系列的所有器件都具有以下特性 : 工作特性 电压范围 1.71V - 闪存编程电压最低至 1.71V 温度范围 (T A ) 灵活的工作模式 表 1. K20 系列器件的共性 内核特性 系统和功耗管理 时钟 存储器和存储器接口 安全和集成性 32 位 ARM Cortex-M4 内核 支持 DS 指令 嵌套向量中断控制器 (NVIC) 异步唤醒中断控制器 (AWIC) 调试和跟踪 2 引脚串口调试 () IEEE JTAG 调试 (JTAG) IEEE 简洁 JTAG (G) 端口跟踪接口单元 (TIU) 闪存片和断点单元 (FB) 数据检测和跟踪单元 (DWT) 指令跟踪宏单元 () 带外部监控引脚的软件和硬件看门狗 带 16 个通道的 DMA 控制器 低漏唤醒单元 (LLWU) 带 10 种功耗模式的功耗管理控制器 不可屏蔽中断 (NMI) 每个芯片 128 位唯一标识 (ID) 数 多用途时钟发生器 LL 和 FLL 内部参考时钟 (32kH 或 2MH) 4MH 到 32MH 晶振 32kH 到 40kH 晶振 内部 1kH 低功耗振荡器 DC 到 外部方波输入时钟 Flex 存储器由 FlexNVM ( 非易失闪存用于执行程序代码 存储数据或者备份 EEROM 数据 ) 或者 FlexRAM (RAM 存储器被用作传统的 RAM 或者高耐擦写 EEROM 存储和加快闪存程序运行 ) 闪存安全性和保护特性 串行闪存编程接口 (Eort) 循环冗余校检 (CRC) 6 飞思卡尔半导体

7 表 1. K20 系列器件的共性 模拟定时器通信人机接口 16 位 SAR ADC 可编程的电压参考 (VREF) 带 6 位 DAC 的高速模拟比较器 (CM) 1x8ch 电机控制 / 通用 /WM 定时器 (FTM) 1x2ch 正交解码器 / 通用 /WM 定时器 (FTM) 载波调制定时器 (CMT) 可编程延迟模块 (DB) 1x4ch 可编程中断定时器 (IT) 低功耗定时器 (LT) USB 全速 / 低速 OTG/ 主机 / 从设备接口 SI I 2 C, 支持 SMBUS UART ( 带 ISO7816 IrDA 和硬件流控 ) GIO 支持引脚中断 DMA 请求 数字滤波和其他引脚控制选项 电容式触摸传感输入 存储器和封装 下表简述了 K20 系列微控制器的存储器大小和封装 封装相同的器件引脚兼容 表 2. K20 系列 MCU 概述 存储器 封装 CU 频率 (MH) 闪存 (KB) Flex NVM (KB) SRA M (KB) Flex RAM (KB) 32 QFN (5x5) 48 QFN (7x7) 48 LQF (7x7) 64 QFN (9x9) 64 LQF (10x1 0) 80 LQF (12x1 2) 81 BGA (8x8) 100 LQF (14x1 4) 104 BGA (8x8) 144 LQF (20x2 0) 144 BGA (13x1 3) 飞思卡尔半导体 7

8 4.2 Flex 存储器 飞思卡尔的新一代 Flex 存储器技术为需要片上 EEROM 和 / 或额外程序或数据闪存的开发者提供非常多样化和强大的解决方案 Flex 存储器和 SRAM 一样简单快速, 当用作高耐久性擦写 EEROM 时, 在完成程序运行和擦除功能时不需要用户或者系统干预 EEROM 阵列大小可配置以改善续航时间来满足应用的需求 Flex 存储器同时能提供平行于主程序闪存的额外闪存 (FlexNVM) 用于数据或者程序存储 Flex 存储器的关键特性包括 : 开发者可设置 : EEROM 阵列大小和擦写次数 程序或者数据闪存大小 EEROM 在电压和温度范围内能经受一千万次擦写操作 无缝的 EEROM 读 / 写操作 : 简单地读或写存储器地址 高速 EEROM 字节, 16 位和 32 位擦写操作 减少外部 EEROM IC 成本或避免 EEROM 模拟机制对软件工作量和资源 (CU/ 闪存 /RAM) 的消耗 存储大的数据表和系统引导加载程序 主程序闪存支持同时读写操作 最低写入电压 1.71V 协议可编程 Flex 存储器使您能完全配置 FlexNVM 和 FlexRAM 模块, 从而为应用提供最均衡的存储器资源 用户可配置的参数包括 :EEROM 大小 擦写次数 写大小和额外程序 / 数据闪存的大小 除了上述的灵活性, 和传统的 EEROM 比较, Flex 存储器解决方案中, 管理权限者可设置 EEROM 性能 擦写次数和低电压运行 增强的 EEROM 包括 FlexRAM 和 FlexNVM 来提供字节擦写, 高速和高擦写次数 EEROM FlexNVM 能被用作 : EEROM 配置的一部分 额外的程序或者数据闪存, 或者 同时包含上面两项 例如, 一部分可以用作闪存同时另一部分被用作增强型 EEROM 备份 FlexRAM 能被用作 EEROM 配置的一部分或者额外的系统 RAM 使用案例 微控制器具有 128 KB 程序闪存 32 KB SRAM Flex 存储器具有 128 KB FlexNVM 和 4 KB FlexRAM ( 最大的 EEROM 大小 ) 应用要求有 8 KB 的额外程序闪存用于引导加载程序 (bootloader) 和 256 字节的高擦写次数 EEROM 用户分配 8 KB 的 FlexNVM 给额外的程序闪存, 剩余的 120 KB 用于 EEROM 备份 用户从 FlexRAM 定义了 256 字节的 EEROM 大小 在此例中, EEROM 的持续时间决定了至少可擦写 2.32 M 次 4.3 器件号和封装信息 8 飞思卡尔半导体

9 图 4. 器件号组成示意图 域描述值 Q 合格状态 M = 完全合格, 正式进入市场 = 工程产品 K 系列 K20 M 存储器 N = 不带 Flex 存储器 X = 带 Flex 存储器 F 闪存容量 32 = 32 KB 64 = 64 KB 128 = 128 KB 256 = 256 KB 512 = 512 KB 1M0 = 1 MB 飞思卡尔半导体 9

10 域描述值 T 温度范围 ( C) V = 40 to 105 I 封装标识 FM = 32 QFN (5mm x 5mm) FT = 48 QFN (7mm x 7mm) LF = 48 LQF (7mm x 7mm) FX = 64 QFN (9mm x 9mm) LH = 64 LQF (10mm x 10mm) LK = 80 LQF (12mm x 12mm) MB = 81 MABGA (10mm x 10mm) LL = 100 LQF (14mm x 14mm) ML = 104 MABGA (10mm x 10mm) LQ = 144 LQF (20mm x 20mm) MD = 144 MABGA (13mm x 13mm) MF = 196 MABGA (15mm x 15mm) MJ = 256 MABGA (17mm x 17mm) S CU 速率 (MH) 50 = 50 MH 72 = 72 MH 100 = 100 MH 120 = 120 MH 150 = 150 MH N 带卷 (T& Blank = 非 T&R R = T&R 4.4 K20 系列特性 下面各节列出了 K20 系列中各器件的区别, 内容的划分基于性能等级 每个器件号下面列出的特性为此器件的最大配置 哪些模块可以同时使用由信号复用配置决定 K20 系列特性 (, 第一部分 ) 表 3. CU 频率为 50 MH 的 K20 ( 一 ) 器件号 N32V FM50 ( X32V FM50 ( N64V FM50 ( N96V FM50 ( FM50 ( N32V LF50( X32V LF50( N64V LF50( N96V LF50( LF50( N32V FT50( X32V FT50( N64V FT50( 基本性能 CU 频率 引脚数 封装 QFN QFN QFN QFN QFN LQF LQF LQF LQF LQF QFN QFN QFN 存储器和存储器接口 闪存总容量 32KB 64KB 64KB 96KB 96KB 32KB 64KB 64KB 96KB 96KB 32KB 64KB 64KB 闪存 32KB 32KB 64KB 96KB 64KB 32KB 32KB 64KB 96KB 64KB 32KB 32KB 64KB FlexNVM - 32KB KB - 32KB KB - 32KB - EEROM/FlexRAM - 1KB - - 1KB - 1KB - - 1KB - 1KB - 10 飞思卡尔半导体

11 表 3. CU 频率为 50 MH 的 K20 ( 一 )( 续上页 ) 器件号 N32V FM50 ( X32V FM50 ( N64V FM50 ( N96V FM50 ( FM50 ( N32V LF50( X32V LF50( N64V LF50( N96V LF50( LF50( N32V FT50( X32V FT50( N64V FT50( SRAM 8KB 8KB 12KB 12KB 12KB 8KB 8KB 12KB 12KB 12KB 8KB 8KB 12KB 外部总线接口 (Flex 总线 ) DDR 控制器 NAND 闪存控制器 缓存 核心模块 DS 有 有 有 有 有 有 有 有 有 有 有 有 有 SFU 调试 跟踪 NMI 有 有 有 有 有 有 有 有 有 有 有 有 有 系统模块 软件看门狗 有 有 有 有 有 有 有 有 有 有 有 有 有 硬件看门狗 有 有 有 有 有 有 有 有 有 有 有 有 有 MC 有 有 有 有 有 有 有 有 有 有 有 有 有 MU DMA 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 时钟模块 MCG 有 有 有 有 有 有 有 有 有 有 有 有 有 主 OSC (4-32MH) 有 有 有 有 有 有 有 有 有 有 有 有 有 RTC (32KH Osc, Vbat) 有有有有有有有有有有有有有 安全和完整性 硬件加密 防窜改检测 CRC 有 有 有 有 有 有 有 有 有 有 有 有 有 模拟 飞思卡尔半导体 11

12 表 3. CU 频率为 50 MH 的 K20 ( 一 )( 续上页 ) 器件号 N32V FM50 ( X32V FM50 ( N64V FM50 ( N96V FM50 ( FM50 ( N32V LF50( X32V LF50( N64V LF50( N96V LF50( LF50( N32V FT50( X32V FT50( N64V FT50( ADC0, SE: 单端 D: 差分对 6ch SE 6ch SE 6ch SE 6ch SE 6ch SE 10ch 1chD 10ch 1chD 10ch 1chD 10ch 1chD 10ch 1chD 10ch 1chD 10ch 1chD 10ch 1chD ADC ADC ADC GA 位 DAC 模拟比较器 Vref 有 有 有 有 有 有 有 有 定时器 电机控制 / 通用 /WM 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 正交解码 / 通用 /WM 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch IEEE1588 定时器 / 通用 /WM 低功耗定时器 IT 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch DB 通信接口 SDHC UART ( ISO-7816) UART SI I 2 C I 2 S CAN USB OTG LS/FS 带片上收发器 USB OTG HS USB DCD 有 有 有 有 有 有 有 有 有 有 有 有 有 USB 120mA 稳压 有 有 有 有 有 有 有 有 有 有 有 有 有 以太网 ( 带 1588) 飞思卡尔半导体

13 表 3. CU 频率为 50 MH 的 K20 ( 一 )( 续上页 ) 器件号 N32V FM50 ( X32V FM50 ( N64V FM50 ( N96V FM50 ( FM50 ( N32V LF50( X32V LF50( N64V LF50( N96V LF50( LF50( N32V FT50( X32V FT50( N64V FT50( 人机接口 段式 LCD CMT( 载波模块发射器 ) 有有有有有有有有有有有有有 TSI( 触摸传感输入 ) 9 输入 9 输入 9 输入 9 输入 9 输入 14 输入 GIO ( 带中断 ) 工作特性 最大允许输入电压 5V 输入 14 输入 14 输入 14 输入 14 输入 14 输入 14 输入 电压范围 闪存写电压 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 温度范围 K20 系列特性 (, 第二部分 ) 表 4. 频率为 50 MH 的 K20 ( 二 ) 器件号 N96V FT50( FT50( N32V LH50( X32V LH50( N64V LH50( N96V LH50( LH50( N32V FX50( X32V FX50( N64V FX50( N96V FX50( FX50( 基本性能 CU 频率 引脚数 封装 QFN QFN LQF LQF LQF LQF LQF QFN QFN QFN QFN QFN 存储器和存储器接口 闪存总容量 96KB 96KB 32KB 64KB 64KB 96KB 96KB 32KB 64KB 64KB 96KB 96KB 闪存 96KB 64KB 32KB 32KB 64KB 96KB 64KB 32KB 32KB 64KB 96KB 64KB FlexNVM - 32KB - 32KB KB - 32KB KB EEROM/FlexRAM - 1KB - 1KB - - 1KB - 1KB - - 1KB SRAM 12KB 12KB 8KB 8KB 12KB 12KB 12KB 8KB 8KB 12KB 12KB 12KB 外部总线接口 (Flex 总线 ) 飞思卡尔半导体 13

14 表 4. 频率为 50 MH 的 K20 ( 二 )( 续上页 ) 器件号 N96V FT50( FT50( N32V LH50( X32V LH50( N64V LH50( N96V LH50( LH50( N32V FX50( X32V FX50( N64V FX50( N96V FX50( FX50( DDR 控制器 NAND 闪存控制器 缓存 核心模块 DS 有 有 有 有 有 有 有 有 有 有 有 有 SFU 调试 G, G, G, G, G, G, G, G, G, G, G, G, 跟踪 NMI 有 有 有 有 有 有 有 有 有 有 有 有 系统模块 软件看门狗 有 有 有 有 有 有 有 有 有 有 有 有 硬件看门狗 有 有 有 有 有 有 有 有 有 有 有 有 MC 有 有 有 有 有 有 有 有 有 有 有 有 MU DMA 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 时钟模块 MCG 有 有 有 有 有 有 有 有 有 有 有 有 主 OSC (4-32MH) 有 有 有 有 有 有 有 有 有 有 有 有 RTC (32KH Osc, Vbat) 有 有 有 有 有 有 有 有 有 有 有 有 安全和完整性 硬件加密 防窜改检测 CRC 有 有 有 有 有 有 有 有 有 有 有 有 模拟 ADC0, SE: 单端 D: 差分对 10chS E + 1chD 10chS E + 1chD 10chS E + 10chS E + 10chS E + 10chS E + 10chS E + 10chS E + 10chS E + 10chS E + 10chS E + 10chS E + ADC 飞思卡尔半导体

15 表 4. 频率为 50 MH 的 K20 ( 二 )( 续上页 ) 器件号 N96V FT50( FT50( N32V LH50( X32V LH50( N64V LH50( N96V LH50( LH50( N32V FX50( X32V FX50( N64V FX50( N96V FX50( FX50( ADC ADC GA 位 DAC 模拟比较器 Vref 有 有 有 有 有 有 有 有 有 有 有 有 定时器 电机控制 / 通用 /WM 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 正交解码 / 通用 /WM 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch 1x2ch IEEE1588 定时器 / 通用 /WM 低功耗定时器 IT 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch DB 通信接口 SDHC UART ( ISO-7816) UART SI I 2 C I 2 S CAN USB OTG LS/FS 带片上收发器 USB OTG HS USB DCD 有 有 有 有 有 有 有 有 有 有 有 有 USB 120mA 稳压 有 有 有 有 有 有 有 有 有 有 有 有 以太网 ( 带 1588) 人机接口 段式 LCD CMT( 载波模块发射器 ) 有 有 有 有 有 有 有 有 有 有 有 有 飞思卡尔半导体 15

16 表 4. 频率为 50 MH 的 K20 ( 二 )( 续上页 ) 器件号 N96V FT50( FT50( N32V LH50( X32V LH50( N64V LH50( N96V LH50( LH50( N32V FX50( X32V FX50( N64V FX50( N96V FX50( FX50( TSI( 触摸传感输入 ) 14 输入 14 输入 GIO (w 中断 ) 工作特性 最大允许输入电压 5V 电压范围 3.6V 3.6V 3.6V 3.6V 3.6V 3.6V 3.6V 3.6V 3.6V 3.6V 3.6V 3.6V 闪存写电压 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 温度范围 K20 系列特性 (72MH) 表 5. 频率为 72 MH 的 K20 器件号 LH72( FX72( LK72( MB72 ( VLH7 2( VFX7 2( VLK7 2( X256 VLK7 2( VMB7 2( X256 VMB7 2( VLL7 2( X256 VLL7 2( VML7 2( X256 VML7 2( 基本性能 CU 频率 72MH 72MH 72MH 72MH 72MH 72MH 72MH 72MH 72MH 72MH 72MH 72MH 72MH 72MH 引脚数 封装 LQF QFN LQF MA BGA LQF QFN LQF LQF MA BGA MA BGA LQF LQF MA BGA MA BGA 存储器和存储器接口 闪存总容量 96KB 96KB 96KB 96KB 160K B 160K B 160K B 288K B 160K B 288K B 160K B 288K B 160K B 288K B 闪存 64KB 64KB 64KB 64KB 128K B 128K B 128K B 256K B 128K B 256K B 128K B 256K B 128K B 256K B FlexNVM 32KB 32KB 32KB 32KB 32KB 32KB 32KB 32KB 32KB 32KB 32KB 32KB 32KB 32KB EEROM/Fle xram 2KB 2KB 2KB 2KB 2KB 2KB 2KB 2KB 2KB 2KB 2KB 2KB 2KB 2KB SRAM 16KB 16KB 16KB 16KB 32KB 32KB 32KB 64KB 32KB 64KB 32KB 64KB 32KB 64KB 外部总线接口 (Flex 总线 ) 有有有有有有有有有有有有有有 DDR 控制器 飞思卡尔半导体

17 表 5. 频率为 72 MH 的 K20 ( 续上页 ) 器件号 LH72( FX72( LK72( MB72 ( VLH7 2( VFX7 2( VLK7 2( X256 VLK7 2( VMB7 2( X256 VMB7 2( VLL7 2( X256 VLL7 2( VML7 2( X256 VML7 2( NAND 闪存控制器 缓存 核心模块 DS 有 有 有 有 有 有 有 有 有 有 有 有 有 有 SFU 调试 跟踪 NMI 有 有 有 有 有 有 有 有 有 有 有 有 有 有 系统模块 软件看门狗 有 有 有 有 有 有 有 有 有 有 有 有 有 有 硬件看门狗 有 有 有 有 有 有 有 有 有 有 有 有 有 有 MC 有 有 有 有 有 有 有 有 有 有 有 有 有 有 MU DMA 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 时钟模块 MCG 有 有 有 有 有 有 有 有 有 有 有 有 有 有 主 OSC (4-32MH) RTC (32KH Osc, Vbat) 有有有有有有有有有有有有有有 有有有有有有有有有有有有有有 安全和完整性 硬件加密 防窜改检测 CRC 有 有 有 有 有 有 有 有 有 有 有 有 有 有 模拟 ADC0, SE: 单端 D: 差分对 10ch 10ch 10ch 10ch 10ch 10ch 10ch 10ch 10ch 10ch 12ch 3chD 12ch 3chD 12ch 3chD 12ch 3chD 飞思卡尔半导体 17

18 表 5. 频率为 72 MH 的 K20 ( 续上页 ) 器件号 LH72( FX72( LK72( MB72 ( VLH7 2( VFX7 2( VLK7 2( X256 VLK7 2( VMB7 2( X256 VMB7 2( VLL7 2( X256 VLL7 2( VML7 2( X256 VML7 2( ADC1 8chS E + 8chS E + 13ch 13ch 8chS E + 8chS E + 13ch 13ch 13ch 13ch 13ch 3chD 13ch 3chD 15ch 3chD 15ch 3chD ADC ADC GA 位 DAC 模拟比较器 Vref 有 有 有 有 有 有 有 有 有 有 有 有 有 有 定时器 电机控制 / 通用 /WM 正交解码 / 通用 /WM IEEE1588 定时器 / 通用 /WM 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch 低功耗定时器 IT 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch DB 通信接口 SDHC UART ( ISO-7816) UART SI I 2 C I 2 S CAN USB OTG LS/FS 带片上收发器 USB OTG HS USB DCD 有有有有有有有有有有有有有有 18 飞思卡尔半导体

19 表 5. 频率为 72 MH 的 K20 ( 续上页 ) 器件号 LH72( FX72( LK72( MB72 ( VLH7 2( VFX7 2( VLK7 2( X256 VLK7 2( VMB7 2( X256 VMB7 2( VLL7 2( X256 VLL7 2( VML7 2( X256 VML7 2( USB 120mA 稳压 以太网 ( 带 1588) 有有有有有有有有有有有有有有 人机接口 段式 LCD CMT( 载波模块发射器 ) TSI( 触摸传感输入 ) GIO (w 中断 ) 有有有有有有有有有有有有有有 工作特性 最大允许输入电压 5V 有有有有有有有有有有有有有有 电压范围 闪存写电压 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 温度范围 K20 系列特性 (100MH) 表 6. 频率为 100 MH 的 K20 器件号 X 128VLQ 100( X 128VM D100(R ) X 256VLQ 100( X 256VM D100(R ) 基本性能 N 512VLK 100( N 512VM B100(R ) N 512VLL 100( N 512VM L100( N 512VLQ 100( N 512VM D100(R ) CU 频率 100MH 100MH 100MH 100MH 100MH 100MH 100MH 100MH 100MH 100MH 引脚数 封装 LQF MABG A LQF MABG A LQF MABG A LQF MABG A LQF MABG A 存储器和存储器接口 闪存总容量 256KB 256KB 512KB 512KB 512KB 512KB 512KB 512KB 512KB 512KB 闪存 128KB 128KB 256KB 256KB 512KB 512KB 512KB 512KB 512KB 512KB 飞思卡尔半导体 19

20 器件号 X 128VLQ 100( 表 6. 频率为 100 MH 的 K20 ( 续上页 ) X 128VM D100(R ) X 256VLQ 100( X 256VM D100(R ) N 512VLK 100( N 512VM B100(R ) N 512VLL 100( N 512VM L100( N 512VLQ 100( N 512VM D100(R ) FlexNVM 128KB 128KB 256KB 256KB EEROM/FlexRAM 4KB 4KB 4KB 4KB SRAM 32KB 32KB 64KB 64KB 128KB 128KB 128KB 128KB 128KB 128KB 外部总线接口 (Flex 总线 ) 有 有 有 有 有 有 有 有 有 有 DDR 控制器 NAND 闪存控制器 缓存 核心模块 DS 有 有 有 有 有 有 有 有 有 有 SFU 调试 c c c c c c c c c c 跟踪, ETM, ETB, ETM, ETB, ETM, ETB, ETM, ETB, ETM, ETB, ETM, ETB, ETM, ETB, ETM, ETB, ETM, ETB, ETM, ETB NMI 有 有 有 有 有 有 有 有 有 有 系统模块 软件看门狗 有 有 有 有 有 有 有 有 有 有 硬件看门狗 有 有 有 有 有 有 有 有 有 有 MC 有 有 有 有 有 有 有 有 有 有 MU 有 有 有 有 有 有 有 有 有 有 DMA 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 16ch 时钟模块 MCG 有 有 有 有 有 有 有 有 有 有 主 OSC (4-32MH) 有 有 有 有 有 有 有 有 有 有 RTC (32KH Osc, Vbat) 有 有 有 有 有 有 有 有 有 有 安全和完整性 硬件加密 防窜改检测 CRC 有 有 有 有 有 有 有 有 有 有 20 飞思卡尔半导体

21 器件号 X 128VLQ 100( 表 6. 频率为 100 MH 的 K20 ( 续上页 ) X 128VM D100(R ) X 256VLQ 100( X 256VM D100(R ) 模拟 N 512VLK 100( N 512VM B100(R ) N 512VLL 100( N 512VM L100( N 512VLQ 100( N 512VM D100(R ) ADC0, SE: 单端 D: 差分对 15chSE + 3chD 15chSE + 3chD 15chSE + 3chD 15chSE + 3chD 10chSE + 10chSE + 12chSE + 3chD 12chSE + 3chD 15chSE + 3chD 15chSE + 3chD ADC1 18chSE + 3chD 18chSE + 3chD 18chSE + 3chD 18chSE + 3chD 13chSE + 13chSE + 13chSE + 3chD 15chSE + 3chD 18chSE + 3chD 18chSE + 3chD ADC ADC GA 位 DAC 模拟比较器 Vref 有 有 有 有 有 有 有 有 有 有 定时器 电机控制 / 通用 /WM 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 1x8ch 正交解码 / 通用 /WM 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch 2x2ch IEEE1588 定时器 / 通用 /WM 低功耗定时器 IT 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch 1x4ch DB 通信接口 SDHC UART ( ISO-7816) UART SI I 2 C I 2 S CAN USB OTG LS/FS 带片上收发器 USB OTG HS USB DCD 有有有有有有有有有有 飞思卡尔半导体 21

22 器件号 X 128VLQ 100( 表 6. 频率为 100 MH 的 K20 ( 续上页 ) X 128VM D100(R ) X 256VLQ 100( X 256VM D100(R ) N 512VLK 100( N 512VM B100(R ) N 512VLL 100( N 512VM L100( N 512VLQ 100( N 512VM D100(R ) USB 120mA 稳压 有 有 有 有 有 有 有 有 有 有 以太网 ( 带 1588) 人机接口 段式 LCD CMT( 载波模块发射器 ) 有 有 有 有 有 有 有 有 有 有 TSI( 触摸传感输入 ) GIO (w 中断 ) 工作特性 最大允许输入电压 5V 有 有 有 有 有 有 有 有 有 有 电压范围 3.6 V 3.6 V 3.6 V 3.6 V 3.6 V 3.6 V 3.6 V 3.6 V 3.6 V 3.6 V 闪存写电压 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 1.71V 温度范围 K20 系列特性 (120MH) 表 7. 频率为 120 MH 的 K20 器件号 X512VL Q120( N1M0VL Q120( X512VM D120( N1M0VM D120( 基本性能 CU 频率 120MH 120MH 120MH 120MH 引脚数 封装 LQF LQF MABGA MABGA 存储器和存储器接口 闪存总容量 1MB 1MB 1MB 1MB 闪存 512KB 1MB 512KB 1MB FlexNVM 512KB - 512KB - EEROM/FlexRAM 16KB - 16KB - SRAM 128KB 128KB 128KB 128KB 外部总线接口 (Flex 总线 ) 有 有 有 有 DDR 控制器 NAND 闪存控制器 有 有 有 有 22 飞思卡尔半导体

23 表 7. 频率为 120 MH 的 K20 ( 续上页 ) 器件号 X512VL Q120( N1M0VL Q120( X512VM D120( N1M0VM D120( 缓存 16KB 16KB 16KB 16KB 核心模块 DS 有 有 有 有 SFU 有 有 有 有 调试 c c c c 跟踪, ETM, ETB, ETM, ETB, ETM, ETB, ETM, ETB NMI 有 有 有 有 系统模块 软件看门狗 有 有 有 有 硬件看门狗 有 有 有 有 MC 有 有 有 有 MU 有 有 有 有 DMA 32ch 32ch 32ch 32ch 时钟模块 MCG 有 有 有 有 主 OSC (4-32MH) 有 有 有 有 RTC (32KH Osc, Vbat) 有 有 有 有 安全和完整性 硬件加密 防窜改检测 CRC 有 有 有 有 模拟 ADC0, SE: 单端 D: 差分对 15ch 3chD 15ch 3chD 15ch 3chD 15ch 3chD ADC1 18ch 3chD 18ch 3chD 18ch 3chD 18ch 3chD ADC2 ADC3 8ch 8ch 8ch 8ch 9ch 9ch 9ch 9ch GA 位 DAC 模拟比较器 飞思卡尔半导体 23

24 表 7. 频率为 120 MH 的 K20 ( 续上页 ) 器件号 X512VL Q120( N1M0VL Q120( X512VM D120( N1M0VM D120( Vref 有 有 有 有 定时器 电机控制 / 通用 /WM 2x8ch 2x8ch 2x8ch 2x8ch 正交解码 / 通用 /WM 2x2ch 2x2ch 2x2ch 2x2ch IEEE1588 定时器 / 通用 /WM 低功耗定时器 IT 1x4ch 1x4ch 1x4ch 1x4ch DB 通信接口 SDHC UART ( ISO-7816) UART SI I 2 C I 2 S CAN USB OTG LS/FS 带片上收发器 USB OTG HS USB DCD 有 有 有 有 USB 120mA 稳压 有 有 有 有 以太网 ( 带 1588) 人机接口 段式 LCD CMT( 载波模块发射器 ) 有 有 有 有 TSI( 触摸传感输入 ) GIO (w 中断 ) 工作特性 最大允许输入电压 5V 有 有 有 有 电压范围 闪存写电压 1.71V 1.71V 1.71V 1.71V 温度范围 24 飞思卡尔半导体

25 4.5 模块特性 下面各章节描述本系列微控制器所涉及到模块的概要特性 若要了解特定器件具有的模块, 请参考前面章节 内核模块 ARM Cortex-M4 内核 支持高达 120 MH 的频率, 1.25 DMIS/MH ARM 内核基于 ARMv7 Architecture & Thumb -2 ISA 微控制器内核主要用于对成本敏感, 确定性的中断驱动环境 Harvard 总线构架 带分支推测技术的三级流水线 集成的总线矩阵 集成的数字信号处理 (DS) 可配置的嵌套向量中断控制器 (NVIC) 高级可配置调试跟踪组件 嵌入式跟踪宏单元 (ETM) 可选的单精度浮点单元 (SFU) 嵌套的向量中断控制器 (NVIC) 和 Cortex-M4 的 Harvard 构架紧密耦合, 使处理低延时中断成为可能 高达 120 个中断源 包括一个单非屏蔽中断 16 个优先级, 每个中断源动态可配置 当更高优先级的中断被触发时, 支持中断嵌套 重定位向量表 唤醒中断控制器 (WIC) 当系统时钟在低功耗模式被关闭时支持中断处理 当进入深度休眠时被 NVIC 正确地启动后, 接管和模仿 NVIC 的作用 一个基本的中断屏蔽系统, 当检测到未屏蔽信号产生无优先级的逻辑信号用于唤醒 不提供程序员模型可视状态, 在休眠模式时降低了功耗且终端用户不可操作 调试控制器 串口 JTAG 调试端口 (SWJ-D) 包含 为调试提供标准的 JTAG 和 G 外部接口 提供串口电缆半双工调试外部接口 数据观察与跟踪单元 (DWT) 具有以下功能 : 四个比较器可配置为一个硬件检测点 一个 ETM 触发器 一个 C 采样事件触发器或者一个数据地址采样事件触发器 用于性能概要分析的多个计数器和一个数据匹配事件触发器 可配置用于按定义的间隔发出 C 采样或者发出中断事件信息 特性 飞思卡尔半导体 25

26 指令跟踪宏单元 () 具有以下功能 : 软件跟踪 - 对 刺激寄存器的直接写操作会造成发送数据包 硬件跟踪 - 发送由 DWT 产生的数据包 时间标记 - 和数据包相关的发送 嵌入式跟踪宏单元支持指令跟踪 CoreSight TM 嵌入式跟踪缓冲区 (ETB) 是一个用于存储跟踪数据的存储器映射缓存区 允许使用标准的 JTAG 工具来进行程序流重组 测试端口接口单元 (TIU) 在 或者 ETM 和一个片外端口跟踪仪之间起桥接作用 闪存片和断点单元 (FB) 实现硬件中断点 代码片段和数据从代码空间到系统空间的转移 系统模块 功耗管理控制单元 (MC) 独立的数字 ( 经过稳压 ) 和模拟 ( 参考数字 ) 电源输出 可设置的低功耗模式 不需要输出电源去耦电容 通过内部模块和外部输入从低功耗模式下唤醒 集成上电复位 (O 集成低压检测 (LVD), 具有复位能力 可选的 LVD 跳变点 可设置的低压预警 (LVW) 中断功能 缓冲区的带隙参考电压输出 出厂设置的带隙和 LVD 修正 1 kh 低功耗振荡器 (LO) DMA 通道复用 (DMA MUX) 16 个独立可选择的 DMA 通道路由 4 个周期性触发源 每个通道路由可被指配到 64 个外设 DMA 源中的一个 DMA 控制器 最多 32 个完全可编程通道, 带 32 字节的传输控制描述符 数据移动通过双地址传送 8 位 16 位 32 位和 128 位数值 可编程源地址 目标地址 传输数 支持增强地址模式 支持主要的次要嵌套累加器, 每个通道一个请求一个中断 支持通道到通道的链路, 分散 / 收集用于固定优先级的和时间片轮转通道仲裁的连续传输 看门狗定时器 (WDOG) 独立可配置时钟源输入 带解锁序列的单次写比特 可编程超时周期 能测试看门狗定时器和复位 26 飞思卡尔半导体

27 窗口刷新选项 稳定的刷新机制 两次上电复位之间的看门狗复位次数累加 可配置的超时中断 外部看门狗监控 (EWM) 独立的 1 kh LO 时钟源 CU 控制或者外部输入控制的输出信号选通外部电路 系统时钟 锁频环路 (FLL) 数字控制振荡器 (DCO) DCO 的频率范围可设置 可以针对 kH 的外部参考时钟源设置 DCO 频率 内部或外部参考时钟可作为 FLL 输入源 0.2% 分辨率, 使用 32 kh 内部参考时钟 使用 32 kh 内部参考时钟时全电压和温度有 2% 的偏差 ; 在有限的温度范围 (0 C 至 70 C) 内偏差为 1% 锁相环路 (LL) 电压控制振荡器 (VCO) 外部参考时钟被用作 LL 源 模数 VCO 分频器相位 / 频率检测器 集成环路过滤器 内部参考时钟产生器 低速时钟使用 9 个修正位确保准确性 快速时钟带四个修正位 可用于控制 FLL 可以选择低速或快速时钟作为 MCU 的时钟源 可以用作其他片上外设的时钟源 来自晶体振荡器 (XOSC) 的外部时钟 (ERCLK) 可以用作 FLL 和 / 或 LL 源 可以选择作为 MCU 的时钟源 具有复位请求能力的外部时钟监控 具有中断请求功能的锁检测器, 用于 LL 自动修正机 (ATM), 用于修正低频率范围和快速内部参考时钟 提供了用于 FLL 和 LL 的基准分频器 所选的时钟源可以 或 8 预分频 从 FLL 或者 LL 提供 MCGLLSCLK 作为时钟源用于其他片上外设 提供 MCGFFCLK 作为时钟源用于其他片上外设 飞思卡尔半导体 27

28 4.5.3 存储器和存储器接口 片上存储器 器件 高达 128KB 程序闪存 Flex 存储器模块提供高达 32KB 的 FlexNVM 和 2KB FlexRAM 以及最高达 2KB 的 EEROM 高达 32KB 的 SRAM 100MH 器件 高达 256KB 程序闪存 Flex 存储器模块提供高达 32KB 的 FlexNVM 和 2KB FlexRAM 以及最高达 2KB 的 EEROM 高达 64KB 的 SRAM 100MH 器件 高达 512KB 程序闪存 Flex 存储器模块提供高达 256KB 的 FlexNVM 和 4KB FlexRAM 以及最高达 4KB 的 EEROM 高达 128KB 的 SRAM 120MH 器件 高达 1024KB 程序闪存 Flex 存储器模块提供高达 512KB 的 FlexNVM 和 16KB FlexRAM 以及最高达 16KB 的 EEROM 高达 128KB 的 SRAM 安全电路, 防止对 RAM 和闪存内容进行未授权访问 外部总线接口 (FlexBus) 六个独立的 可由用户设置的片选信号, 可以与外部 SRAM ROM EROM EEROM 闪存和其他外设无缝接口 支持高达 2 GB 的寻址空间 8 位 16 位和 32 位数据总线宽度, 提供复用或非复用的地址和数据总线的配置 字节 字 长字和 16 字节的行传输 片选时可根据芯片选择的断言来设置地址建立时间 可根据芯片选择和发送方向的协商来设置的地址保持时间 串行程序接口 (Eort) 和业界标准的 SI 闪存使用相同的的串行接口, 命令集为其子集 能够读 擦除和编程闪存 闪存编程后用复位命令重启系统 NAND 闪存控制器 8 位和 16 位 NAND 闪存接口 9 KB RAM 缓存 支持所有 NAND 闪存产品, 不论其密度和组织 支持闪存器件命令 集成了 DMA 引擎 两个可配置的 DMA 通道 28 飞思卡尔半导体

29 可选的 ECC 模式支持 4/6/8/12/16/24/32 位纠错 当从页面尺寸 2KB 闪存 (x8) 启动时, 不需要额外的控制 安全和完整性 循环冗余校检 (CRC) 采用 16 位或 32 位移位寄存器的 CRC 发生器电路 16/32 位 CRC 用户可配置 可编程的生成器多项式 误码检测功能可以检测所有单 双 奇误码及大多数多位误码 可编程的初始种子值 高速 CRC 计算 通过转置寄存器转置输入数据和 CRC 结果, 此为可选特性, 用于某些字节是 lsb 格式的应用 模拟外设 位逐次逼近模数转换器 (ADC) 线性逐次逼近算法, 最高 16 位分辨率 最高 14.5 ENOB 最多 4 对差分和 24 个单端外部模拟输入 输出模式 : 差分 16 位 13 位 11 位和 9 位模式, 使用 2 的补码的 16 位符号扩展格式 单端 16 位 12 位 10 位 8 位模式, 使用右对齐无符号格式 单次或连续转换 可配置的采样时间和转换速度 / 功耗 转换完成和硬件平均完成标记和中断 可从最多四个源中选择输入时钟 在低功耗模式下运行, 降低噪声 使用异步时钟源降低噪声, 并可以选择输出时钟 可选择异步硬件转换触发器, 具有硬件通道选择 自动比较各种可设置的中断值 温度传感器 硬件平均功能 可选择电压参考 自动校准模式 高速模拟比较器 (CM) 6 位 DAC 可编程参考生成器输出 典型 5 mv 输入偏移 在启用模式下功耗低于 40 A, 在禁用模式下功耗低于 1 na ( 可编程的参考生成器不包含在内 ) 固定的 ACM 滞后, 范围在 3 mv 至 20 mv 之间 最多 8 个可选比较器输入 ; 每个输入都可以按照极性顺序与其他任何输入进行比较 飞思卡尔半导体 29

30 中断在比较器输出的上升沿, 下降沿或者上升下降沿可选 比较器输出可被采样, 窗口 ( 用于过零检测 ) 或者数字过滤 在低功耗模式下运行 位数模转换器 (DAC) 12 位分辨率 在输入字 确保 6-sigma 的单调性 高低速转换 高速下转换率为 1 s, 低速为 2 s 下电模式 DAC 能驱动 3 k, 400pF 负载 同步异步更新可选 自动模式下允许 DAC 产生自己的输出波形, 包括方波 三角波和锯齿波 自动模式下周期 更新率和范围可编程 DMA 支持可配置浮标 电压参考 (VREF) 可配置的修正寄存器, 以 0.5 mv 为单位递增, 在复位后自动加载室内温度值 可配置的模式选择 : 关闭 带隙输出 ( 或稳定延迟 ) 低功耗缓冲模式 紧稳压缓冲模式 室温下名义输出电压为 1.2 V, 40 ppm/ C 特定引脚输出, VREFO 紧稳压模式下提供最大 100 V/mA 负载调整 电源抑制比为 0.1 mv DC 和 -60 db AC 定时器 可编程延迟模块 (DB) 最多 15 个触发输入源和软件触发源 最多 8 个可配置 DB 通道用于 ADC 硬件触发 每个 DB 通道和一个 ADC 匹配 对于每个 DB 通道, 一个触发输出用于 ADC 硬件触发, 最多 8 个预触发输出用于 ADC 触发选择 触发输出可以独立打开和关闭 每个预触发使用一个 16 位延迟寄存器 可选旁路预触发输出的延迟寄存器 可在单次或者连续模式下运行 可选在紧接模式中运行, 可使 ADC 完成转换后再触发下个 DB 通道 一个可编程延迟中断 一个顺序错误中断 30 飞思卡尔半导体

31 每个预触发一个通道标示和一个顺序错误标示 支持 DMA 最多 8 个 DAC 间隙触发器 每个 DAC 一个触发输出 每个 DAC 触发器输出一个 16 位延迟间隙寄存器 可选的旁路延迟间隙触发器寄存器 可选的外部触发器 最多 8 个脉冲输出 ( 脉冲输出的 ) 每个脉冲输出可以被独立打开或者关闭. 可编程的脉冲宽度 Flex 定时器 (FTM) FTM 源时钟可选择 可设置的预分频器 16 位计数器器支持自由运行或初始 / 最终值, 并且可向上或上 / 下计数 输入捕捉 输出比较 边缘对齐和中央对齐 WM 模式 输入捕捉和输出比较模式 FTM 通道可以成对工作 采用相同的输出或者采用一主一辅输出或采用两个单独的通道 ( 具有独立的输出 ) 死区插入可以提供给每个互补对 生成硬件触发 软件控制 WM 输出 全球错误控制最多允许 4 个错误输入 配置通道极性 对输入捕捉 基准比较 溢出的计数器或检测到的故障情况设置中断 带输入过滤器的正交解码器, 相对位置计算, 在位置计数或者捕获外部事件的位置计数中断 FTM 事件支持 DMA 全局时基模式在 FTM 实例中共享单时基 可编程中断定时器 (ITs) 最多四个通用中断定时器 最多四个用于触发 ADC 转换的中断定时器 32 位计数器分辨率 同步系统时钟频率 支持 DMA 低功耗定时器 预分频器 / 毛刺过滤器的时钟可选 1 kh 内部 LO kh 外部晶振 内部参考时钟 ( 在低漏模式不可用 ) 带 15 位计数器的可配置的毛刺过滤器或预分频器 带比较的 16 位时间或者脉冲累加器 飞思卡尔半导体 31

32 在定时器比较时产生的中断 在定时器比较时产生硬件触发 ( 在低漏模式不可用 ) 载波调制定时器 (CMT) 4 种运行模式 时间模式, 独立控制高电平和低电平时间 基带 频移键控 (FSK) 直接通过软件控制 IRO 引脚 在时间 基带和 FSK 模式下扩展空间操作 可选择的输入时钟分频 在循环结束时中断 能够关闭 CMT_IRO 信号并用于定时器中断 实时时钟 (RTC) 独立的电源, OR 和 32 kh 晶振 32 位秒计数器和 32 位告警 16 位预分频器带补偿能够更正 0.12 ppm 到 3906 ppm 之间的错误 寄存器写保护 硬锁要求通过 VBAT OR 来打开写权限 软锁要求通过系统复位来打开写 / 读权限 通信接口 通用串行总线接口 On-The-Go 模块 遵循 USB 规范 2.0 版本 USB 主设备模式 支持增强型主设备控制接口 (EHCI) 允许直接连接 FS/LS 从设备而不需要 OHCI/UHCI 伴侣控制器 支持 Linux 和其他商用操作系统 USB 从设备模式 通过片上收发器进行全速操作 通过外部 ULI 收发器进行全速 / 高速操作 支持一个上行接口 支持四个可编程双向 USB 端点, 包括端点 0 挂起模式 / 低功耗 作为主设备, 固件能够挂起单独的从设备或者整个 USB 并且关闭芯片时钟运行于低功耗 从设备支持低功耗挂起 主从设备支持远端唤醒 和处理器的低功耗模式集成 包括一个片上全速 (12 Mbps) 和低速 (1.5 Mbps) 收发器 支持片外 HS/FS/LS 收发器 32 飞思卡尔半导体

33 外部 ULI 收发器在主设备模式支持高速 (480 Mbps), 全速和低速, 在从设备模式支持高速和全速 接口使用 8 位单数据速率 ULI 数据总线 ULI HY 提供一个 60 MH USB 参考时钟输入给处理器 USB 从设备充电检测 (USBDCD) 和通过以下方式充电的系统兼容 : 可充电电池 不可充电池 外部 3.3 VLDO 稳压器通过 USB 充电或者 使用内部稳压器直接从 USB 充电 可编程事件定时器, 以便于更加灵活地和将来更新的标准兼容 遵循最新的工业标准规范, USB 电池充电规范, 版本 USB 稳压器 5V 稳压器输入通常由 USB VBUS 电源提供 3.3V 稳压输出给片上 USB 收发器供电 稳压器的输出引脚可被用来给外部电路板组件供电并且最高达 120mA 减小外部 LDO 成本 3.3V 稳压输出可用于给微控制器的主电源供电 CAN 模块 支持 CAN 规范 2.0 版本, B 部分的所有内容 标准的数据和远程帧 ( 最长 109 位 ) 扩展的数据和远程帧 ( 最长 127 位 ) 0 8 字节数据长度 比特率可编程, 最高可达 1 Mbit/s 内容相关寻址 灵活的消息缓冲器 (MBs), 总共可达 16 个消息缓冲器, 每个数据长度为 0-8 字节, 可配置为 Rx 或者 Tx, 都支持标准或者扩展消息 只听模式 每个消息缓冲器具有独立的屏蔽寄存器 可编程的发送优先机制 : 最低的 ID 或者最小的缓冲器编号 基于 16 位自由运行定时器的时间戳 全局网络时间, 通过特定的消息同步 串行外围设备接口 (SI) 全双工 三线同步传输 主模式支持最高达 25 Mbps 的传输速率 从模式支持最高达 12.5 Mbps 的传输速率 缓冲的发送操作使用 TX FIFO, 深度可达 4 缓冲的接收操作使用 RX FIFO, 深度可达 4 特性 飞思卡尔半导体 33

34 TX 和 RX FIFOs 能被独立关闭, 用于 SI 序列的低延时更新 TX 和 RX FIFOs 可视化更便于调试 基于帧的可编程传输特性 根据 SI 实例和封装, 最多支持 6 个外设芯片 ( 使用外部分路器可扩展到 64 个 ) 通过外部分路器最多可支持 32 个外设芯片去毛刺, 当数据增加到发送 FIFO 和从接收 FIFO 移除数据时可使用 DMA 6 种中断条件 更改过的 SI 发送格式用于和较慢的外设通信 内部集成电路 (I 2 C) 与 I 2 C 总线标准和 SM 总线规范版本 2 特性兼容 最大总线负载高达 100 kbps 多主控操作 通过软件设置 64 个不同的串行时钟频率 可设置的从地址和毛刺输入过滤器 中断驱动的单字节数据传输 仲裁丢失中断, 模式自动从主模式切换到从模式 调用地址标识中断 总线繁忙检测广播和 10 位地址扩展 处理器处于低功耗模式时通过地址匹配唤醒 支持 DMA 通用异步接收器 / 发射器 (UART) 全双工 标准标记 / 空间不归零 (NRZ) 格式 可选 IrDA 1.4 翻转归零 (RZI) 格式, 脉冲宽度可编程 支持使用 ISO 7816 协议与智能卡交互 13 位波特率选择, 支持 1/32 小数分频 可编程的 8 位或 9 位数据格式 单独启用发射器和接收器 可编程的发射器输出极性 可编程的接收输入极性 13 位分隔符选项 11 位分隔符检测选项 两种接收器唤醒方式 : 空闲行唤醒 地址标记唤醒 接收器具有地址匹配特性, 可以降低地址标记唤醒 ISR 开销 中断驱动操作, 带有 10 个标记 接收帧错误检测 硬件奇偶校验产生和检测 1/16 位噪声检测 DMA 请求 34 飞思卡尔半导体

35 安全数据主机控制器 (SDHC) 和以下规范兼容 : 带测试用例寄存器和支持高级的 DMA 的 SD 主机控制器标准规范, 2.0 版本 SD Host Controller Standard Specification, Version 2.0 ( 多媒体卡系统规范 4.2 版 MultiMediaCard System Specification, Version 4.2 ( SD 存储卡规范 2.0 版, 支持高容量 SD 存储卡 SD Memory Card Specification, Version 2.0 ( SDIO 卡规范 2.0 版 SDIO Card Specification, Version 2.0 ( CE-ATA 卡规范 1.0 版 CE-ATA Card Specification, Version 1.0 ( 设计用于 CE-ATA SD 存储器 minisd 存储器 SDIO minisdio SD Combo MMC MMCplus 和 RS-MMC 卡 SD 总线时钟频率最高可达 50 MH 支持 1/4 位 SD 和 SDIO 模式 1/4/8 位 MMC 模式和 1/4/8 位 CE-ATA 设备 使用 4 条并行数据线和 SD/SDIO 卡数据传输最高可达 200 Mbps 使用 8 条并行数据线和 MMC 数据传输最高可达 416 Mbps 单块多块读和写 块大小为 字节 写操作带写保护开关 同步和异步异常终止 数据传输中在块间隙暂停 SDIO 读 等待 挂起和恢复操作 多块传输支持自动 CMD12 主机能够在数据传送过程中初始化非数据传送命令 允许卡在 1 位和 4 位 SDIO 模式中断主机 支持中断周期, 在 SDIO 标准中定义 用于读写数据的 128 x 32 位 FIFO 可配置 内部 DMA 通过配置矢量相关的寄存器位来支持电压选择 支持高级 DMA 来执行连接的存储器访问 同步串行接口 (I 2 S) 为支持音频, 独立 ( 异步 ) 或者共享 ( 同步 ) 发送和接收段, 带分离的或者共享的内部 / 外部时钟和帧同步, 运行于主或从模式 可运行于主模式或者从模式 正常模式时使用帧同步 网络模式下允许多个设备共享端口, 最多 32 个时隙 可编程的数据接口模式, 例如 I 2 S LSB 和 MSB 可编程的字长度 ( 或者 24 位 ) 支持 AC97 特性 飞思卡尔半导体 35

36 功耗模式 人机接口 通用输入 / 输出 (GIO) 可编程毛刺过滤器, 中断在所有输入引脚上极性可选 所有输入引脚上具备滞后并且上拉电阻下拉电阻可配置 所有输出引脚都具备可配置的斜率和驱动强度 独立引脚值寄存器, 在数字引脚上读取逻辑电平 可选最大输入电压 5 V 的器件 触摸传感输入 (TSI) 16 通道输入, 支持最多 16 个独立的触摸键 4 个触摸键可组成滑动条 中断可配置为基于键或者基于滑动条 运行于低功耗模式时允许通过单触摸从最低功耗模式中唤醒 可选使用内部参考时钟 5 功耗模式 功耗管理控制器 (MC) 为用户提供了多种功耗模式可供选择 支持多达十种不同的功耗模式, 便于用户根据需要优化功耗 根据用户应用对于停止 (stop) 的需要, 提供了多种 stop 模式来提供状态保持, 某些逻辑和 / 或存储器部分休眠或者全部休眠 所有功耗模式中输入 / 输出状态保持 下表提供了各种功耗模式的比较 每种运行 (run) 模式都有对应的等待 (wait) 模式和停止 (stop) 模式 等待模式和 ARM 的休眠模式类似 停止模式 (VLS, STO) 和 ARM 的深度休眠模式类似 当处理应用时不需要最大的总线频率, 采用极低功耗运行 (VL 模式能极大地减少运行时的功耗 CU 有三种主要的操作模式 : 运行 等待和停止 WFI 和 WFE 指令被用于将芯片从等待和停止模式中唤醒 芯片增加了多种停止 等待和运行模式, 用于根据应用需要降低功耗. 表 8. 芯片功耗模式 功耗模式描述正常的恢复方法 正常运行允许 MCU 达到最大性能 - 正常等待 ( WFI) 允许外设在 CU 休眠期间正常工作, 从而降低功耗中断 正常停止 (WFI) 芯片进入静止状态 低功耗模式, 支持 LVD 保护的同时保持所有寄存器内容 中断 正常停止 (WFE) 芯片进入静止状态 低功耗模式, 支持 LVD 保护的同时保持所有寄存器内容 ( 中断后 ) 重新开始 VLR ( 超低功耗运行 ) VLW ( 超低功耗等待, WFI) VLS ( 超低功耗停止, WFI) VLS ( 超低功耗停止, WFE) 降低频率 (1 MH) 的闪存访问模式 稳压器处于低功耗模式下, LVD 关闭 内部稳压器低功耗 ; 为内核和外设提供 2 MH 的时钟源 与 VLR 类似, CU 处于休眠状态来进一步降低功耗 MCU 处于静止状态, LVD 操作关闭 低功耗模式, ADC 和引脚中断仍可工作 LT RTC ACM DAC 正常工作 MCU 处于静止状态, LVD 操作关闭 低功耗模式, ADC 和引脚中断仍可工作 LT RTC ACM DAC 正常工作 中断 中断 中断 ( 中断后 ) 重新开始 36 飞思卡尔半导体

37 开发环境 表 8. 芯片功耗模式 ( 续上页 ) 功耗模式描述正常的恢复方法 LLS ( 低漏停止 ) VLLS3 ( 超低漏停止 3) VLLS2 ( 超低漏停止 2) 状态保持功耗模式 LLWU LT RTC ACM DAC 正常工作 注意 : LLWU 中断一定不要被中断控制器屏蔽, 以避免 LLS 恢复时不能完全退出停止模式. 唤醒中断 LLWU LT RTC ACM DAC 正常工作 SRAM_U 和 SRAM_L 继续供电 唤醒复位 LLWU LT RTC ACM DAC 正常工作 SRAM_L 断电, 部分 SRAM_U 继续供电 唤醒复位 VLLS1 ( 超低漏停止 1) LLWU LT RTC ACM DAC 正常工作 所有的 SRAM_U 和 SRAM_L 断电 唤醒复位用于客户重要数据的 32 位 VBAT 寄存器文件继续供电 6 开发环境 飞思卡尔的微控制器产品的应用开发获得了大量工具 第三方开发人员以及软件厂商的支持 Kinetis 系列的开发可使用以下资源 6.1 支持 Freescale 的塔式系统 飞思卡尔的塔式系统是一个针对 8 位 16 位和 32 位微控制器的模块化开发平台, 支持通过快速原型化实现高级开发 塔式系统具有多个开发板或模块, 为设计师提供了从入门级到高级微控制器开发的支持 飞思卡尔半导体 37

38 开发环境 Kinetis 系列提供以下塔式模块, 关于塔式系统的更多信息请参考 表 9. Kinetis 系列微控制器的塔式模块 微控制器模块 Kinetis K40 系列微控制器模块 Kinetis K60 系列微控制器模块 特性 K40 系列 512 KB 闪存 MCU, 144 引脚 MABGA 封装板载 JTAG 调试接口访问包括段式 LCD 和 USB 在内的所有特性 K60 系列 512 KB 闪存 MCU, 144 引脚 MABGA 封装板载 JTAG 调试接口访问包括以太网和 USB 在内的所有特性 6.2 CodeWarrior 开发组件 飞思卡尔的 CodeWarrior Development Studio for Microcontrollers v10.x 将 RS08 HCS08 和 ARM 架构的开发工具集成到一个基于 Eclipse 开放开发平台的产品中 Eclipse 提供了一个用于构建软件开发环境的出色框架, 并且成为由众多嵌入式软件厂商使用的标准框架 Eclipse IDE 3.4 编译系统, 包含针对 RS08 HCS08, ARM 和 ColdFire 处理器的优化的 C/C++ 编译器 38 飞思卡尔半导体

39 开发环境 Eclipse C/C++ 开发工具 (CDT) 扩展, 提供了用于故障排除和修复嵌入式应用的高级特性表 10. CodeWarrior 10.x 特性 独特的特性 客户获得的好处 详细说明 MCU 更改向导 能够针对新处理器轻松地重新配置项目 只需选择一个新的器件 ( 从相同或不同架构中 ) 并选择一个默认调试接口, CodeWarrior 工具套件就会用正确的编译工具和支持文件为新器件自动重新配置项目 编译器汇编器链接器标头文件矢量表库链接器配置文件 飞思卡尔 rocessor Expert ( 处理器专家系统 ) 可以在初始设计阶段解决硬件层中的问题 将易于使用的基于组件的应用创建与专家知识系统相结合 CU 片上外设 片外外设和软件功能全部被封装到一个嵌入式组件中通过修改组件的属性 方法和事件, 可以量身定制每个组件的功能, 从而满足应用需求在编译项目时, rocessor Expert 将自动生成高度优化的嵌入式 C 代码, 并将源文件放到项目中图形用户界面 : 允许根据所需的功能指定应用自动代码生成器 : 创建经过测试的 优化的 C 代码, 这些代码针对应用需求和所选的飞思卡尔器件进行了调优内置知识库 : 快速标记资源冲突和错误设置, 从而在设计周期的早期捕捉到错误组件向导 : 允许创建用户特定的 独立于硬件的嵌入式组件 为片上跟踪缓冲器提供跟踪和配置支持 复杂的类似模拟器的调试功能, 不需要额外硬件 CodeWarrior 配置和分析工具提供应用可见性, 它在处理器之上运行, 能够识别运行问题 支持具有片上跟踪缓冲器 (HCS08 V1 ColdFire 和 ARM) 的架构允许设置跟踪点以启用和禁用跟踪输出可以同时遍历跟踪数据和对应的源代码允许将跟踪数据导出到 Microsoft Excel 文件 6.3 飞思卡尔的 MQX TM 软件解决方案 日益复杂的行业应用以及扩展的半导体功能促使嵌入式开发人员采用结合了可靠硬件和软件平台的解决方案 这些解决方案帮助加快面市速度并改进应用开发 飞思卡尔半导体为 ARM, ColdFire 和 ColdFire+ MCU 用户提供了 MQX 实时操作系统 (RTOS), 带有 TC/I 和 USB 软件栈和外设驱动程序, 用户不需要支付额外的费用 飞思卡尔 MQX 软件解决方案与飞思卡尔硅片产品相结合, 使飞思卡尔成为能够提供硬件 软件 工具和服务的综合供应商 飞思卡尔半导体 39

40 开发环境 飞思卡尔 MQX RTOS 的关键优势包括 : 图 5. MQX 解决方案 内存占用少 :RTOS 专门针对嵌入式系统的速度和尺寸效率设计 它实现了真正的实时性能, 采用汇编代码手工优化上下文切换和中断程序 基于组件的架构 : 为功能丰富的 RTOS 内核提供额外的可选服务 飞思卡尔的 MQX RTOS 包含 25 个组件 (8 个内核组件和 17 个可选组件 ) 只在需要时连接组件, 防止未使用的功能增加内存占用 全功能的和轻量级的组件 : 提供了关键组件的全功能版本和轻量级版本, 以进一步控制大小 RAM/ROM 利用率和性能选项 实时的 基于优先级的抢占式多线程处理 : 允许高优先级线程始终满足其时间要求, 不管当前有多少其他线程与其争用 CU 时间 调度 : 开发人员不需要花费精力去创建或维护高效的调度系统和中断处理, 从而加快开发速度 代码重用 : 提供一个具有简单 直观的 AI 的框架, 适用于众多飞思卡尔嵌入式处理器产品 快速启动 : 确保应用在硬件复位后能够快速运行 40 飞思卡尔半导体

41 修订记录 简单消息传递 : 消息可以来自一个系统池或一个专用池, 根据紧急状态或用户定义优先级发送, 可以广播或与某任务相关 为获得最大程度的灵活性, 接收任务可以在与发送任务相同的 CU 上运行, 或者在同一系统的不同 CU 上运行 更多的信息请参考 MQX 的网页 额外提供的软件栈 图 6. MQX 可用户定义的组件集 算术, 数字信号处理和加密库 电机控制库 传感软件组件 附赠的 bootloaders (USB 以太网 RF 和串口 ) 附赠的飞思卡尔内嵌式 GUI 附赠的飞思卡尔 MQX RTOS USB TC/I 堆栈和 MFS 文件系统 用于飞思卡尔 MQX RTOS 的低成本 Nano SSL/Nano SSH 完整的 ARM 系统 7 修订记录 下表列出了本手册的修订记录 飞思卡尔半导体 41

42 修订记录 表 11. 修订记录 版本号日期修订 1 8/2010 中文版第一次发布, 对应于 K20B Rev /2010 将表 2 中 81BGA 和 104BGA 的封装大小改为 8 8 更改 81MABGA 的封装标识为 MB 对表 3 到表 4 中的 K20 做了以下更新 GA 数量更改为 0 SRAM 大小更改为 12 KB FlexRAM 大小更改为 1 KB 模拟比较器数量更改为 1 更改了 UART 数量 删除了 32/64 KB, 80/81 引脚封装 增加了 96 KB, 32 和 64 引脚封装在表 5 中增加了 64 KB, 64 和 80/81 引脚封装对表 7 中 120 MH 144 引脚的 K20 作以下更改 : 更改为具有两个 I 2 S 接口 ADC2 更改为 8chSE+ ADC3 更改为 9chSE+ 更改为具有 4 个模拟比较器 更改为具有 2 8ch 电机控制 / 通用 /WM 42 飞思卡尔半导体

43

44 联系我们 : 主页 : 技术支持网站 : 美国 / 欧洲或未列出的地点 : Freescale Semiconductor, Inc. Technical Information Center, EL East Elliot Road Tempe, Ariona or 欧洲 中东和非洲 : Freescale Halbleiter Deutschland GmbH Technical Information Center Schatbogen Muenchen, Germany (English) (English) (German) (French) 日本 : Freescale Semiconductor Japan Ltd. Headquarters ARCO Tower 15F 1-8-1, Shimo-Meguro, Meguro-ku, Tokyo Japan or support.japan@freescale.com 亚太地区 : 飞思卡尔半导体 ( 中国 ) 有限公司北京市朝阳区建国路乙 118 号京汇大厦 23 层 support.asia@freescale.com 本中文版本仅为了便于理解 请勿忽视文档中包含的英文部分提供的有关 Freescale 产品性能和使用情况的有用信息 Freescale Semiconductor Inc. 及其分公司和相关公司 各级主管与员工及事务代理机构对译文中可能存在的任何差错不承担任何责任 建议参考 Freescale Semiconductor Inc. 的英文原版文档 本文档提供的信息仅供系统和软件开发者使用飞思卡尔半导体产品 本文没有授予根据本文信息设计或制造任何集成电路的明示或暗示的版权许可 飞思卡尔半导体保留对任何产品作出更改的权利, 恕不另行通知 飞思卡尔半导体公司不就其产品针对任何特定用途的适用性作出保证 陈述或担保, 也不承担与应用或使用任何产品或电路有关的责任, 并明确拒绝承担任何以及所有责任, 包括但不限于后继或附带的损失 飞思卡尔半导体数据手册和 / 或规范中可能提供了 典型 参数, 这些参数会根据不同的应用和实际性能随时间变化 所有操作参数, 包括 典型 参数, 必须由客户的技术专家对每个客户应用进行验证 飞思卡尔半导体不会转让任何与其专利权或其他权利有关的许可 飞思卡尔半导体没有设计 或意图或授权将产品用作人体外科植入物的系统组件, 或用于支持或维持生命的其他应用, 或用于任何可能因为飞思卡尔半导体产品故障而引起人身伤害或死亡的应用 如果买方购买或将飞思卡尔半导体产品用于此类非意图的或非授权的应用, 买方应当赔偿并保证飞思卡尔半导体及其官员 雇员 子公司 附属公司和经销商免于因此类非意图或非授权使用而直接或间接产生的所有索赔 费用 损害 支出以及合理的律师费, 以及与此类非意图或非授权使用有关的人身伤害或死亡索赔, 即使此类索赔声称飞思卡尔半导体在部件设计或制造方面存在疏忽 飞思卡尔的产品符合 RoHS 并且 / 或者无铅版本的功能和电气特性和非 RoHS 和 / 或含铅版本相同 更加详细的信息, 请参考 或者请联系飞思卡尔产品的代理商 关于飞思卡尔更多的关于环保产品的信息, 请参考 Freescale 和 Freescale 标识是飞思卡尔半导体公司的商标 所有其他产品或服务名称是其各自所有者的财产 2010 年飞思卡尔半导体公司版权所有 保留所有权利 索取技术资料 : Freescale Semiconductor Literature Distribution Center.O. Box 5405 Denver, Colorado or Fax: LDCForFreescaleSemiconductor@hibbertgroup.com 文档号 : K20BZHS 第 2 版 2010 年 11 月

K30PBZHS

K30PBZHS 飞思卡尔半导体产品概述 文档号 : K30ZHS 第 2 版, 2010 年 11 月 K30 系列产品概述适用于所有 K30 微控制器 1 Kinetis 产品组合 Kinetis 是基于 ARM Cortex TM -M4 具有超强可扩展性的低功耗 混合信号微控制器 第一阶段产品由五个微控制器系列组成, 包含超过两百种器件, 在引脚 外设和软件上可兼容 每个系列提供了不同的性能, 存储器和外设特性

More information

K60PBZHS

K60PBZHS 飞思卡尔半导体产品概述 文档号 : K60PBZHS 第 2 版, 2010 年 11 月 K60 系列产品概述适用于所有 K60 微控制器 1 Kinetis 产品组合 Kinetis 是基于 ARM Cortex TM -M4 具有超强可扩展性的低功耗 混合信号微控制器 第一阶段产品由五个微控制器系列组成, 包含超过两百种器件, 在引脚 外设和软件上可兼容 每个系列提供了不同的性能, 存储器和外设特性

More information

Freescale Semiconductor

Freescale Semiconductor Freescale Semiconductor, Inc. Document Number: KS22PB 产品简介 Rev. 2, 03/2016 KS22 产品简介 支持 128 KB 至 256 KB Flash 和 64 KB SRAM 的 120 MHz 器件 1. KS22 概述 KS22 MCU 构建于 ARM Cortex -M4 处理器上, 具有低功耗和高存储器密度, 提供多种封装

More information

系列产品简介

系列产品简介 Freescale Semiconductor Document Number:K60PB Product Brief Rev 8, 5/2011 K60 系列产品简介支持所有 K60 器件 1 Kinetis 产品组合 Kinetis 是业界扩展能力最强的低功耗混合信号 ARM Cortex -M4 MCU 产品组合 该产品组合的第一阶段包括 5 个 MCU 系列, 提供 200 多款引脚 外设和软件兼容的器件

More information

Kinetis KL1x – 通用超低功耗MCU

Kinetis KL1x – 通用超低功耗MCU Freescale Semiconductor Document Number: KL1XPB 产品简介 Rev 0, 03/2015 Kinetis KL1x 通用超低功耗 MCU 最高 256 KB Flash 和 32 KB SRAM 1 Kinetis L 系列简介 Kinetis L 系列微控制器 (MCU) 的低功耗性能出类拔萃, 既具有新型 ARM Cortex -M0+ 处理器的卓越能效和易用性,

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

MCF51JxQxPBZHS

MCF51JxQxPBZHS 飞 思 卡 尔 半 导 体 产 品 简 介 文 件 编 号 :MCF51JxQxPBZHS 第 0.2 版, 7/2010 ColdFire+ 产 品 组 合 简 介 提 供 入 门 级 32 位 超 低 功 率 低 成 本 小 尺 寸 与 软 件 和 引 脚 完 全 兼 容 的 解 决 方 案 1 ColdFire+ 产 品 组 合 简 介 飞 思 卡 尔 的 ColdFire+ 32 位 微 控

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

Kinetis L系列功耗管理

Kinetis L系列功耗管理 Freescale Semiconductor Document Number: AN5088 应用笔记 Rev 0, 3/2015 Kinetis L 系列功耗管理如何使用 Kinetis L 系列低功耗模式 1 简介 Kinetis L 微控制器系列为对功耗敏感型市场提供超低功耗特性 在该 MCU 系列中实现了多种低功耗模式以满足这一需求 本应用笔记向用户展示了每种功耗模式的详细信息, 并在 SDK

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

(Microsoft Word - 92\246~\263\370)

(Microsoft Word - 92\246~\263\370) 壹 致 股 東 報 告 書 九 十 一 年 為 創 見 收 穫 頗 豐 之 年 度, 雖 產 業 環 境 仍 處 於 不 佳 狀 態, 但 在 創 見 公 司 全 體 同 仁 辛 勤 耕 耘 之 下, 我 們 仍 能 順 利 達 成 財 務 目 標 : 營 收 為 64.55 億 元, 較 九 十 年 度 47.16 億 元 大 幅 成 長 37% 稅 後 淨 利 10.82 億 元, 每 股 稅

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

vqt0y67.pdf

vqt0y67.pdf DMC-LX2 GD , Panasonic ( ),, SDHC Leica Leica Microsystems IR GmbH Elmarit Leica Camera AG.,. B ( ) ( ): (DMC-LX2) : 1588-8452( ) : ( )/ 2 : ( ) - - EU n LCD, n LCD. LCD LCD LCD LCD LCD (, ) LCD 0.01%

More information

册子0906

册子0906 IBM SelectStack ( PMC v2.0 ) 模块化私有云管理平台 是跨主流虚拟化技术的统一资源云管理平台 01 亮点 : 快速可靠地实现集成化 私有云管理平台 02/03 丰富的功能支持企业数据中心云计算 扩展性强 : 简单易用 : 04/05 功能丰富 : 06/07 为什么选择 IBM SelectStack (PMC v2.0)? 快速实现价值 提高创新能力 降低 IT 成本 降低复杂度和风险

More information

0523内页正稿-改风格

0523内页正稿-改风格 1100MP 8,999 Dell Axim X50/X50v 300-500 4 Dell 2300MP Dell X50 416MHz 3,599 10-11 Dell E153FP 2 3 4-5 6-7 100 8-9 8-9 10-11 12 Oracle on Dell 13 5 14-15 1400 21001 15 800 x 600 2.2 1.677M 32 E232 1:1.2

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

Altera SOC Devices

Altera SOC Devices Altera drive for Silicon Convergence 您的用户可定制芯片系统 嵌入式开发人员的需求 Low High 提高系统性能 降低系统功耗 减小电路板面积 降低系统成本 2 实现两全其美 ARM 处理器系统 双核 ARM Cortex-A9 MPCore 处理器 28-nm FPGA 硬核存储器控制器 外设 SoC FPGA ARM + Altera = SoC FPGA

More information

F²MC-8L/16LX/FR FAMILY

F²MC-8L/16LX/FR FAMILY 富士通半导体 ( 上海 ) 有限公司应用笔记 MCU-AN-500074-Z-13 F²MC-8FX 家族 8 位微型控制器 全系列 低功耗策略 应用笔记 修改记录 修改记录 版本日期作者修改记录 1.0 2009-12-07 Edison, Zhang 初稿 1.1 2009-01-08 Jacky, Zhou 增加细节描述 1.2 2009-01-12 Jacky, Zhou 增加 3.3.4

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

Microsoft Word - Competition?Rules?比赛细则-11-1.01-ren

Microsoft Word - Competition?Rules?比赛细则-11-1.01-ren 第 十 一 届 全 国 大 学 生 智 能 汽 车 竞 赛 竞 速 比 赛 规 则 智 能 车 竞 赛 秘 书 处 2015 11 15 2016 清 华 大 学 中 央 主 楼 自 动 化 系 目 录 第 十 一 届 竞 赛 规 则 导 读... 4 一 前 言... 5 二 比 赛 器 材... 7 1 车 模... 7 (1) 车 模 的 种 类... 7 (2) 车 模 修 改 要 求...

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

Microsoft PowerPoint - Webinar-STM32F3系列新.pptx

Microsoft PowerPoint - Webinar-STM32F3系列新.pptx STM32 F3 系列混合信号 & 数字信号处理器 - July 2013 - STM32 Marketing MCU 平台 精而不简 2 Flash (bytes) 2 M High-performance & Ultra-low-power low 1 M 32-bit core 128 K 16 K 2 K Standard voltage & Ultra-low-power STM8S Mainstream

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

01

01 ZEBRA 技术白皮书 条码编码 101 相关知识介绍 引言 20 70 数据 80 20 90 (JIT) AIAG EIA HIBCC HAZMAT 条码的优势提高数据准确性 99% 85% / / 提升效率 / 2 Zebra Technologies 保持一致性 ID 改进库存和资产管理 成本 / 效益分析 ID ID ID (ERP) RFID Zebra Technologies 3 ID

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

Slide 1

Slide 1 合肥工业大学 -TI 单片机联合实验室 (MSP43 & Cortex-M) TI LM3S8962 评估套件概述 作者 : 邵春莉指导老师 : 徐科军教授联系方式 :TI_Stellaris@63.com http://www.ti.com/mcu TI 嵌入式处理器产品 Microcontrollers 微控制器 (MCUs) (MCUs) ARM 基于 -Based ARM 的处理器 Processors

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

在Kinetis上使用DMA进行脉冲计数

在Kinetis上使用DMA进行脉冲计数 Freescale Semiconductor Document Number: AN5083 应用笔记 Rev 0, 01/2015 在 Kinetis 上使用 DMA 进行脉冲计数 1 简介 本应用笔记阐述了如何使用 DMA 和通用 IO 模块进行脉冲计数 许多 Kinetis 系列微控制器具有低功耗定时器 (LPT) 和 FlexTimer, 可用于脉冲输入捕捉 然而, 当应用程序需要对多个脉冲输入进行计数时,

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

1 MTK 2 MTK 3 MTK

1 MTK 2 MTK 3 MTK 1 MTK 2 MTK 3 MTK MTK MTK MTK MTK 1997, ( 10 DVD : 2000, 3G GSM/GPRS/WCDMA/ EDGE Multimedia Phone 2.5G MT6218/ MT6217 GSM/GPRS Multimedia Platform MT6205 GSM Low-End Platform MT6219 GSM/GPRS Video Platform

More information

Chapter #

Chapter # 第三章 TCP/IP 协议栈 本章目标 通过本章的学习, 您应该掌握以下内容 : 掌握 TCP/IP 分层模型 掌握 IP 协议原理 理解 OSI 和 TCP/IP 模型的区别和联系 TCP/IP 介绍 主机 主机 Internet TCP/IP 早期的协议族 全球范围 TCP/IP 协议栈 7 6 5 4 3 应用层表示层会话层传输层网络层 应用层 主机到主机层 Internet 层 2 1 数据链路层

More information

使用FlexIO模块模拟I2S总线主机

使用FlexIO模块模拟I2S总线主机 Freescale Semiconductor Document Number: AN4955 应用笔记 Rev 1, 04/2015 使用 FlexIO 模块模拟 I2S 总线主机 作者 : Michael Galda 1 概述 本应用笔记介绍了 FlexIO 外设模块的一种典型用例, 该模块最初是在 Freescale Kinetis KL43 MCU 系列 (ARM CM0+ MCU) 中作为

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc 前 言 感 谢 您 购 买 使 用 LU-R/C3000 系 列 真 彩 液 晶 显 示 与 R/C2100 单 色 液 晶 显 示 过 程 控 制 无 纸 记 录 仪 本 手 册 是 关 于 LU-R/C3000 与 LU-R/C2100 的 功 能 组 态 设 置 接 线 方 法 和 操 作 方 法 等 的 说 明 书 除 此 手 册 之 外 还 有 安 东 无 纸 记 录 仪 U 盘 采 集

More information

Moto Z

Moto Z Moto G Moto NFC 12:36 SIM microsd > / 0.5 SAR SAR SAR https://motorola.com/sar SAR > > www.motorola.com/rfhealth Moto / / www.motorola.com/device-legal /USB-C WLAN WLAN WLAN Moto Mods Nano SIM MicroSD

More information

S2995 Owner's Manual

S2995 Owner's Manual BL01663-102 ZHS FINEPIX S2995 用 户 手 册 感 谢 您 购 买 本 产 品 本 手 册 讲 述 了 如 何 使 用 FUJIFILM FinePix S2995 数 码 相 机 及 附 带 的 软 件 在 使 用 相 机 之 前, 请 确 定 您 已 阅 读 并 理 解 了 本 手 册 内 容 使 用 相 机 之 前 开 始 步 骤 基 础 拍 摄 与 回 放 有 关

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

untitled

untitled SIMATIC S7-300 4/2 4/2 S7-300/S7-300F 4/4 4/4 CPU 312C - CPU 317F-2 DP 4/38 SIPLUS 4/38 SIPLUS CPU 312C, CPU 313C, CPU 314, CPU 315-2 DP 4/40 4/40 SM 321 4/46 SM 322 4/52 SM 323/SM 327 I/O 4/56 SIPLUS

More information

untitled

untitled Answers for energy. - aplc) dplc aplc dplc - TCP/IP TCP/IP 2 24 khz 1000 khz 2 32 khz 256 kbit/s 32 khz 20/50/100 W X.212 RS2328 TCP/IP21 E1 (2 Mbit/s) G703.1 64 kbit/s VF (VFM, VFO, VFS), 8 RS2324 FSK

More information

Ps22Pdf

Ps22Pdf 1 1 1 5 10 12 13 13 16 19 26 31 33 37 38 38 49 53 60 63 79 81 81 92 112 129 132 135 144 149 150 150 155 158 1 165 178 187 191 193 194 194 207 212 217 218 223 231 233 234 234 239 245 247 251 256 259 261

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

ChinaBI企业会员服务- BI企业

ChinaBI企业会员服务- BI企业 商业智能 (BI) 开源工具 Pentaho BisDemo 介绍及操作说明 联系人 : 杜号权苏州百咨信息技术有限公司电话 : 0512-62861389 手机 :18616571230 QQ:37971343 E-mail:du.haoquan@bizintelsolutions.com 权限控制管理 : 权限控制管理包括 : 浏览权限和数据权限 ( 权限部分两个角色 :ceo,usa; 两个用户

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

475_Man_D_chs.book

475_Man_D_chs.book 475 现 场 通 讯 器 用 户 手 册 用 户 手 册 Rev K 2015 五 月 475 现 场 通 讯 器 475 现 场 通 讯 器 通 知 使 用 475 现 场 通 讯 器 前, 请 阅 读 用 户 手 册 为 保 证 人 身 及 系 统 安 全, 并 发 挥 最 佳 产 品 性 能, 使 用 或 维 护 本 产 品 前, 应 深 入 掌 握 手 册 内 容 如 需 有 关 设 备

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

中華民國 第51屆中小學科學展覽會

中華民國 第51屆中小學科學展覽會 中 華 民 國 第 51 屆 中 小 學 科 學 展 覽 會 作 品 說 明 書 國 小 組 物 理 科 第 三 名 080115 問 水 哪 得 高 如 許? 為 有 熱 源 伴 水 來 學 校 名 稱 : 桃 園 縣 龍 潭 鄉 三 坑 國 民 小 學 作 者 : 指 導 老 師 : 小 六 陳 嬿 云 黃 啟 晉 小 六 張 婉 怡 關 鍵 詞 : 熱 脹 冷 縮 模 擬 燃 燒 影 響 力

More information

TIA 222 G.pdf

TIA 222 G.pdf TIA 222 G.pdf ->>> http://shurll.com/73ien 1 / 5 2 / 5 fx,,,,-,,,,automate,,,,rfq,,,,management,,,,for,,,,1/tia-222-e...catal... 2012 年 4 月 26 日 - GigOptix 日前宣布其为 10 0Gb/s,,,,DWDM 光接收器设计的 TIA 芯片 GX3222B

More information

校园之星

校园之星 x x x x x x C H N O V x B x x x x S S x mm cm cm cm cm x x x x x x x x A A B X B B ml x x B

More information

安装:工业以太网轨道式交换机RS20 基础系列

安装:工业以太网轨道式交换机RS20 基础系列 用 户 手 册 安 装 工 业 以 太 网 轨 道 式 交 换 机 RS20 基 础 系 列 FAULT RS20 FAULT RS20 +24V(P1) 0V 0V +24V(P2) +24V (P1) 0V 0V +24V (P2) P 1 2 FAULT P 1 2 FAULT 1 IP-ADDRESS V.24 IP-ADDRESS V.24 1 3 4 2 2 Aufkleber MAC-Adresse

More information

3 3 ma mv V AMC 3 2.5kPa ~ 100 MPa 2.5 kpa ~ 100MPa 4

3 3 ma mv V AMC 3 2.5kPa ~ 100 MPa 2.5 kpa ~ 100MPa 4 DPI 620 - DPI 620 0.0025%rdg+0.002%FS Hart Win CE PDA USB IEEE 802.11g WIFI - PM 620 GE 2.5 kpa 100 MPa 0.005%FS DPI 620 3 - PV 62X - 95% 2 MPa - 95% 10 MPa - 0 100 MPa 3 3 www.ge-mcs.com 3 3 ma mv V AMC

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

< Essential LEDtube > | < Philips >

< Essential LEDtube > | < Philips > Lighting Essential LEDtube - Affrdable LED slutin Essential LEDtube Essential LEDtube is an affrdable LED tube that is suitable fr replacing T8 flurescent lamps. The prduct prvides a natural lighting effect

More information

P1500

P1500 深 圳 华 禹 工 控 科 技 有 限 公 司 P1500 用 户 使 用 手 册 深 圳 华 禹 工 控 科 技 有 限 公 司 编 制 联 系 电 话 邮 件 地 址 更 新 日 期 邝 足 林 15986765262 2850906986@qq.com 2014-11-04 文 件 编 号 20141104-001 版 本 号 / 修 订 号 V1.1 本 文 件 版 权 属 于 本 公 司

More information

Microsoft Word - AN3291_Chinese.doc

Microsoft Word - AN3291_Chinese.doc 飞思卡尔半导体 文件编号 :AN3291 应用笔记第 1 版,03/2007 如何在 M68HC08 HCS08 和 HCS12 微控制器上应用 IIC 模块 作者 : Stanislav Arendarik 应用工程师捷克共和国, 罗斯诺夫 1 简介 此应用笔记是如何在飞思卡尔的微控制器上应用 IIC 模块的一个示例 IIC 模块可以分别在主模式或从模式下使用 在这种情况下, 由于 IIC 总线主要用于在微控制器

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

七天基于风险测试—Chinatest.ppt

七天基于风险测试—Chinatest.ppt / @ at Testart PPT ?! Risk = Damage*Probability Damage Probability ? . 1. 1. 4. 1. Web- GIS PC 7 ? ? : ? - - - 0.1 0.1 X bug UI 10 Requirement SpecificaCon IteraCon Develop

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

因 味 V 取 性 又 鸟 U 且 最 大 罗 海 惜 梅 理 春 并 贵 K a t h l ee n S c h w e r d t n er M f l e z S e b a s t i a n C A Fe rs e T 民 伊 ' 国 漳 尤 地 视 峰 州 至 周 期 甚 主 第 应

因 味 V 取 性 又 鸟 U 且 最 大 罗 海 惜 梅 理 春 并 贵 K a t h l ee n S c h w e r d t n er M f l e z S e b a s t i a n C A Fe rs e T 民 伊 ' 国 漳 尤 地 视 峰 州 至 周 期 甚 主 第 应 国 ' 东 极 也 直 前 增 东 道 台 商 才 R od e ric h P t ak 略 论 时 期 国 与 东 南 亚 的 窝 贸 易 * 冯 立 军 已 劳 痢 内 容 提 要 国 与 东 南 亚 的 窝 贸 易 始 于 元 代 代 大 规 模 开 展 的 功 效 被 广 为 颂 扬 了 国 国 内 市 场 窝 的 匮 乏 窝 补 虚 损 代 上 流 社 会 群 体 趋 之 若 鹜 食 窝

More information

xxxx数据手册

xxxx数据手册 产品手册 IoT Wi-Fi SOC 版本 :1.2 日期 :2017-11-16 编号 :DS0099CN 摘 要 特性 高度集成的 SoC 芯片 ARM 9,256KB SRAM 和 2MB Flash 支持 802.11b/g/n 无线局域网 高效率功率放大器 (PA) 丰富的 I/O 接口微处理器 (MCU) 内核 :ARM 9 主频 :120MHz 内存 256KB SRAM 2MB Flash

More information

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同 附加说明书 符合 DIN - EN - ASME - JIS - GOST 的法兰 技术参数 Document ID: 31088 1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 1.4404 或 1.4435 所有距离值以毫米为单位

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

Andes Technology PPT Temp

Andes Technology PPT Temp 晶心科技線上技術研討會 AndesCore 便捷的全 C 嵌入式编程 晶心科技市場及技術服務部毛礼杰軟件經理 WWW.ANDESTECH.COM 大纲 系统初始化介绍 异常和中断说明 全 C 语法例子说明 总结 2 CPU 相关特性 1: 中断向量表 系统初始化 (1) 2: 系统寄存器 通常需要用 assembly( 汇编 / 组合 ) 语言来操作 AndesCore 全 C 嵌入式编程 C 扩展语法

More information

S3C

S3C S3C2440A 32- 位 CMOS 微型控制器用户手册修订版本 1 第一章产品概述 -------- 马志晶译 1 目录 第一章产品概述...1-3 特性...1-3 内部结构图......1-7 管脚分配...1-9 信号说明...1-22 s3c2440a 特殊寄存器......1-27 2 1 产品概述引言 三星公司推出的 16/32 位 RISC 微处理器 S3C2440A, 为手持设备和一般类型应用提供了低价格

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

Beefill 2in1_chinesisch_Rev B.indd

Beefill 2in1_chinesisch_Rev B.indd BeeFill 2in1 zh VDW GmbH, Bayerwaldstr. 15 D-81737 Munich, Germany Aseptico, Inc., P.O. Box 1548 8333 216th Street SE, Woodinville, WA 98072, USA Advena Ltd., Hereford, HR4 9DQ, UK PN 420680-02 Rev. B

More information

使用STM32F101xx和STM32F103xx的DMA控制器

使用STM32F101xx和STM32F103xx的DMA控制器 1 前言 使用 STM32F101xx 和 STM32F103xx 的 DMA 控制器 AN2548 应用笔记 使用 STM32F101xx 和 STM32F103xx DMA 控制器 这篇应用笔记描述了怎么使用 STM32F101xx 和 STM32F103xx 的直接存储器访问 (DMA) 控制器 STM32F101xx 和 STM32F103xx 的 DMA 控制器 Cortex TM -M3

More information

Applications

Applications 概述 FM1905 是 24 点 内存映象和多功能的 LCD 驱动器,FM1905 的软件配置特性使它适用于多种 LCD 应用场合, 包括 LCD 模块和显示子系统 用于连接主控制器和 FM1905 的管脚只有 3 条,FM1905 还有一个节电命令用于降 低系统功耗 特点 工作电压 3.0 ~5.0V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入 可选 1/2

More information

NXP Powerpoint template confidential 16:9 Widescreen

NXP Powerpoint template confidential 16:9 Widescreen 无线 MCU 概览 FTF-INS-N1981 2016 年 9 月 议程 低功耗无线 MCU 市场概况 恩智浦无线 MCU 产品组合 802.15.4 无线 MCU 双协议无线 MCU BLE 无线 MCU 1 1 物联网提供了大量增长机遇 物联网市场预计每年增长 25% 从最初关注于消费电子产品领域 ; 到今年进一步探索 B2B 物联网半导体市场 ~280 亿美元 复合年均增长率 (15-19)

More information

用 矿 物 质 丰 富 的 盐 炼 成 的 竹 盐 是 科 学 Contents 可 以 解 决 矿 物 质 缺 乏 的 生 命 之 盐 - 竹 盐 2 什 么 是 矿 物 质? 3 盐 不 是 单 纯 的 氯 化 钠 4 难 道 盐 是 高 血 压 的 元 凶 吗? 5 推 荐 用 盐 补 充 体 内 缺 乏 矿 物 质 8 什 么 是 竹 盐? 10 盐 与 竹 盐 的 成 分 分 析 11 竹

More information

NXP Powerpoint template confidential 16:9 Widescreen

NXP Powerpoint template confidential 16:9 Widescreen 利用智能住宅自动化解决方案开发轻触连接体验开发轻触连接体验 SHASHANK GOEL SHASHANK GOEL PL 智能家居产品营销经理 PL 智能家居产品营销经理研讨会 FTF-HMB-N1982 研讨会 FTF-HMB-N1982 2016 年 5 月 18 日 2016 年 9 月 29 日 议程 市场化 恩智浦的集成技术可实现更出色的使用案例 轻触即可连接 无线产品组合 支持 1 物联网提供了大量增长机遇

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information