untitled

Similar documents
KT-SOPCx开发套件简明教程

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

目 录

逢甲大學

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

ICD ICD ICD ICD ICD

圖形10.cdr

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

Microsoft Word - 考试大纲 (2)

untitled

第 三 章 流 程 实 践 案 例 手 把 手 第 一 个 工 程 理 清 了 一 些 基 本 概 念, 也 对 开 发 流 程 有 了 一 个 大 体 的 了 解, 特 权 同 学 寻 思 着 下 一 步 该 学 点 什 么 好 呢? 要 达 到 循 序 渐 进 的 效 果, 是 不 可 以 上

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile..

AL-MX200 Series

AL-M200 Series

untitled

Microsoft Word - 32

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

ARM JTAG实时仿真器安装使用指南

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer)

EK-STM32F

VHDL Timer Exercise

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

逢 甲 大 學

untitled

25.( 0 在 進 行 水 溫 與 溶 解 量 的 實 驗 時, 每 一 匙 糖 都 要 刮 平 的 主 要 目 的 為 何? 1 避 免 一 次 溶 解 太 多 糖 2 可 以 增 加 溶 解 糖 的 次 數 3 控 制 加 入 的 每 一 匙 糖 都 一 樣 多 4 可 以 減 少 溶 解 量

Microsoft Word - A doc

Chapter 2

untitled

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

7 FPGA 99 VGA FPGA Nios II Nios II Fig 1 Block diagram of video tracking system CMOS FPGA 1 FPGA SOPC Nios II CMOS RGB SDRAM Avalon Nios

ebook140-9

Guide to Install SATA Hard Disks

EDK_MicroBlaze_tutorial.doc

epub83-1

前言

Cadence Poqi

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "P

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

员工签到录

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與

Bus Hound 5

mvc

Microsoft Word zw

Ch03_嵌入式作業系統建置_01

SDS 1.3

Eclipse C C++, or

院 責 任 制 使 命 交 付 凝 聚 共 識 新 任 主 管 佈 達 祈 福 派 遣 菲 律 賓 Holy Name University 校 長 參 訪 與 輔 大 簽 訂 姊 妹 校 合 約 本 報 訊 為 落 實 天 主 教 大 學 精 神, 積 極 面 對 以 院 為 責 任 中 心 之

2/80 2

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

大学计算机基础B.doc

Huawei Technologies Co

tw_basics.book

DSP

PROFIBUS3.doc

保母人員丙級應檢資料第二部份 doc

新时期共青团工作实务全书(三十九)

目次 

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM

邏輯分析儀的概念與原理-展示版

51 C 51 isp 10 C PCB C C C C KEIL

P4i45GL_GV-R50-CN.p65

附件1:

Quick Start Guide

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

ebook140-8

V39用户手册0227.doc

Designing a Custom AXI Peripheral

第4章 系统设置

audiogram3 Owners Manual

rz_Newsletter2016_en_ indd

K7VT2_QIG_v3

P4Dual-915GL_BIOS_CN.p65

中文手册

untitled

第七章 中断

j_xilinx-training-courses_2012.pdf

CH01.indd

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

PPBSalesDB.doc

<4D F736F F D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

untitled

IP505SM_manual_cn.doc


, 即 使 是 在 昏 暗 的 灯 光 下, 她 仍 然 可 以 那 么 耀 眼 我 没 有 地 方 去, 你 会 带 着 我 么 杜 晗 像 是 在 嘲 笑 一 般, 嘴 角 的 一 抹 冷 笑 有 着 不 适 合 这 个 年 龄 的 冷 酷 和 无 情, 看 着 江 华 的 眼 神 毫 无 温

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

简 介 本 白 皮 书 高 度 概 述 了 支 持 移 动 互 联 网 设 备 (Mobile Internet Device) 的 Intel C++ Software Development Tool Suite for Linux* OS, 目 标 读 者 主 要 是 技 术 决 策 制 订

500013安瑞证券投资基金2004年半年度报告(正文)

景德镇陶瓷学院科技艺术学院

资产管理年度报告内容与格式

附錄四 中國企業H 股公司名單 (主板)

Microsoft Word - 16号-(企业处 40份)关于公布2015年成都市中小企业成长工程培育企业名单暨网上填报信息的通知

bnb.PDF

untitled

KL DSC DEMO 使用说明

自动化接口

Transcription:

niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files

EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall name Setup.tcl file

#Setup.tcl # Setup pin setting set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" set_global_assignment -name ENABLE_INIT_DONE_OUTPUT ON set_location_assignment PIN_16 -to OSC0 set_location_assignment PIN_125 -to RESET_ set_location_assignment PIN_28 -to LED\[0\] set_location_assignment PIN_85 -to LED\[1\] set_location_assignment PIN_96 -to LED\[2\] set_location_assignment PIN_97 -to LED\[3\] Script, 1 TRI-STATED 2 INIT_DONE_OUTPUT 3 map Tools -> Tcl Scripts, Script Setup, Run nios Tools -> SopcBuilder, Altera SOPC Builder Altera SOPC Builder SOPC Builder System Name, sopc_niossmall HDL Language, verilog

OK Board Target, Unspecified Board Target Device Family, Cyclone Clock, 16M Nios II Nios II Processor -Altera Corporation, Altera niosii NiosII core Nios II/e Nios II/e, Next,

JTAG Debug Module Level 1, Next, Custom Instructions

Finish, niosii cpu_0 cpu_niossmall On-Chip Memory(RAM or ROM),( Avalon Modules -> Memory -> RAM. Memory Type RAM Data Width 32bits,Total Memory Size 4K bytes, Finish

name onchip_memory_0 ram, onchip_ram_0 PIO( Parallel I/O)( Avalon Modules -> Other Width 4bits, Finish

name pio_0 PIO, led_pio System ->Auto-Assign Base Addresses,

Sysetm->Auto-Assign IRQs, Nios II More "cpu_niossmall" settings Reset Address Exceptiong Address Break Location System Generation HDL.Generate system module logic in Verilog, Simulation.Create ModelSim(tm) project files Generate,

SUCCESS: SYSTEM GENERATION COMPLETED. QuartusII niosii

SOPC Builder QuartusII SOPC Builder sopc_niossmall.bsf, niosii_e input:clk,reset_n, output:out_port_from_the_piop[3:0] sopc_niossmall, NisoSmall.bdf, sopc_niossmall niosclktoclk niso reset_n to VCC out_port_from_the_pio_0[3:0] to LED[3:0], LPM_INV) Alter SOPC Builder Run Nios II IDE, Nios II IDE,

Nios II IDE File -> New -> Project, New Project C/C++ Application, Next, Project Name, ExampleNiosSmall_hello_led_0 Select Project Template Hello LED( sram 4kbytes, Template Next,

Create a new system library named Finish, hello_led.c, while(i<200000) while(i<40000). System library Project->Build All(Ctrl+B), Build completed.

LED_PIO_BASE SOPC PIO(Parallel I/O)( Avalon Modules -> Other LED_PIO, PIO_0 IOWR_ALTERA_AVALON_PIO_DATA(LED_PIO_BASE, led); 1 hello_led.c, IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, led) PIO 2 sopc PIO LED_PIO QuartusII Processing -> Star Compilation,

Tools ->Programmer, FPGA LED

OK,