S7200宝典1.doc



Similar documents
I

修改版-操作手册.doc

国债回购交易业务指引

评 委 : 李 炎 斌 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单

评 委 : 徐 岩 宇 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单

自 服 务 按 钮 无 法 访 问 新 系 统 的 自 服 务 页 面 因 此 建 议 用 户 从 信 网 中 心 ( 主 页, 右 下 角 位 置 的 常 用 下 载, 或 校 园 网 用 户 自 服 务 ( 首 页

深圳市新亚电子制程股份有限公司

目 录 一 系 统 访 问... 1 二 门 户 首 页 申 报 用 户 审 核 用 户... 2 三 系 统 登 录 用 户 名 密 码 登 录 新 用 户 注 册 用 户 登 录 已 注 册 用

世华财讯模拟操作手册

登录、注册功能的测试用例设计.doc

0 年 上 半 年 评 价 与 考 核 细 则 序 号 部 门 要 素 值 考 核 内 容 考 核 方 式 考 核 标 准 考 核 ( 扣 原 因 ) 考 评 得 3 安 全 生 产 目 30 无 同 等 责 任 以 上 道 路 交 通 亡 人 事 故 无 轻 伤 责 任 事 故 无 重 大 质 量

教师上报成绩流程图

Microsoft Word - 第7章 图表反转形态.doc

云信Linux SSH认证代理用户手册

说 明 为 了 反 映 教 运 行 的 基 本 状 态, 为 校 和 院 制 定 相 关 政 策 和 进 行 教 建 设 与 改 革 提 供 据 依 据, 校 从 程 资 源 ( 开 类 别 开 量 规 模 ) 教 师 结 构 程 考 核 等 维 度, 对 2015 年 春 季 期 教 运 行 基

采 取 行 动 的 机 会 90% 开 拓 成 功 的 道 路 2

第 一 部 分 MagiCAD for Revit 安 装 流 程

龚 亚 夫 在 重 新 思 考 基 础 教 育 英 语 教 学 的 理 念 一 文 中 援 引 的 观 点 认 为 当 跳 出 本 族 语 主 义 的 思 维 定 式 后 需 要 重 新 思 考 许 多 相 连 带 的 问 题 比 如 许 多 发 音 的 细 微 区 别 并 不 影 响 理 解 和

目 录 关 于 图 标... 3 登 陆 主 界 面... 3 工 单 管 理... 5 工 单 列 表... 5 搜 索 工 单... 5 工 单 详 情... 6 创 建 工 单... 9 设 备 管 理 巡 检 计 划 查 询 详 情 销 售 管

珠江钢琴股东大会

18 上 报 该 学 期 新 生 数 据 至 阳 光 平 台 第 一 学 期 第 四 周 至 第 六 周 19 督 促 学 习 中 心 提 交 新 增 专 业 申 请 第 一 学 期 第 四 周 至 第 八 周 20 编 制 全 国 网 络 统 考 十 二 月 批 次 考 前 模 拟 题 第 一 学

<433A5C446F63756D656E E E67735C41646D696E F725CD7C0C3E65CC2DBCEC4CFB5CDB3CAB9D3C3D6B8C4CFA3A8BCF2BBAFA3A95CCAB9D3C3D6B8C4CF31302D31392E646F63>

2. 本 次 修 改 后, 投 资 者 申 购 新 股 的 持 有 市 值 要 求 市 值 计 算 规 则 及 证 券 账 户 使 用 的 相 关 规 定 是 否 发 生 了 变 化? 答 : 未 发 生 变 化 投 资 者 申 购 新 股 的 持 有 市 值 是 指, 以 投 资 者 为 单 位

何 秋 琳 张 立 春 视 觉 学 习 研 究 进 展 视 觉 注 意 视 觉 感 知


一、资质申请

全国建筑市场注册执业人员不良行为记录认定标准(试行).doc

上证指数

<4D F736F F D20B3D6B2D6CFDEB6EEB1EDB8F1D7EED6D52E646F63>

第2章 数据类型、常量与变量

抗 战 时 期 国 民 政 府 的 银 行 监 理 体 制 探 析 % # % % % ) % % # # + #, ) +, % % % % % % % %

,,,,, :,, (.,, );, (, : ), (.., ;. &., ;.. &.., ;, ;, ),,,,,,, ( ) ( ),,,,.,,,,,, : ;, ;,.,,,,, (., : - ),,,, ( ),,,, (, : ),, :,

《C语言基础入门》课程教学大纲

Template BR_Rec_2005.dot

Microsoft Word - 文件汇编.doc

正 规 培 训 达 规 定 标 准 学 时 数, 并 取 得 结 业 证 书 二 级 可 编 程 师 ( 具 备 以 下 条 件 之 一 者 ) (1) 连 续 从 事 本 职 业 工 作 13 年 以 上 (2) 取 得 本 职 业 三 级 职 业 资 格 证 书 后, 连 续 从 事 本 职 业

证券代码: 证券简称:长城电脑 公告编号:

i 1) 系 统 运 作 前 设 定 *1. [2.1 网 页 主 机 名 称 设 定 ] -- 设 定 校 务 系 统 的 主 机 IP 地 址, 以 供 其 他 个 人 电 脑 连 接 及 使 用 该 系 统 *2. [2.3.1 输 入 / 修 改 学 校 资 料 ] -- 输 入 系 统 使

富士通将军空调机 诺可力®X系列 样本

 编号:

全国艺术科学规划项目

目 录 页 1. 欢 迎 使 用 网 上 预 约 面 谈 访 问 系 统 新 用 户 新 用 户 登 入 帐 户 程 序 启 动 网 上 预 约 面 谈 访 问 帐 户 核 对 帐 户 的 地 址 资 料

金 不 少 于 800 万 元, 净 资 产 不 少 于 960 万 元 ; (3) 近 五 年 独 立 承 担 过 单 项 合 同 额 不 少 于 1000 万 元 的 智 能 化 工 程 ( 设 计 或 施 工 或 设 计 施 工 一 体 ) 不 少 于 2 项 ; (4) 近 三 年 每 年

关于修订《沪市股票上网发行资金申购

( ) 信 号 与 系 统 Ⅰ 学 科 基 础 必 修 课 教 周 2016 年 06 月 13 日 (08:00-09:35) ( )

<4D F736F F D20BFC9B1E0B3CCD0F2BFD8D6C6CFB5CDB3C9E8BCC6CAA6B9FABCD2D6B0D2B5B1EAD7BC2E646F63>

Microsoft Word - 第3章.doc

上海证券交易所会议纪要

:厦门安妮股份有限公司关于重大资产重组事项相关公告的更正公告+

年 8 月 11 日, 公 司 召 开 2015 年 第 五 次 临 时 股 东 大 会, 审 议 通 过 了 关 于 公 司 <2015 年 股 票 期 权 激 励 计 划 ( 草 案 )> 及 其 摘 要 的 议 案 关 于 提 请 股 东 大 会 授 权 董 事 会 办 理 公

<4D F736F F D20B9D8D3DAB0BABBAAA3A8C9CFBAA3A3A9D7D4B6AFBBAFB9A4B3CCB9C9B7DDD3D0CFDEB9ABCBBE C4EAC4EAB6C8B9C9B6ABB4F3BBE1B7A8C2C9D2E2BCFBCAE92E646F6378>

黄 金 原 油 总 持 仓 增 长, 同 比 增 幅 分 别 为 4.2% 和 4.1% 而 铜 白 银 以 及 玉 米 则 出 现 减 持, 减 持 同 比 减 少 分 别 为 9.4%,9.4% 以 及 6.5% 大 豆, 豆 粕 结 束 连 续 4 周 总 持 仓 量 增 长, 出 现 小 幅

·岗位设置管理流程

上海证券交易所会议纪要

操作手册

第三章 作业

!!!!!!!!!!

一 从 分 封 制 到 郡 县 制 一 从 打 虎 亭 汉 墓 说 起

定 位 和 描 述 : 程 序 设 计 / 办 公 软 件 高 级 应 用 级 考 核 内 容 包 括 计 算 机 语 言 与 基 础 程 序 设 计 能 力, 要 求 参 试 者 掌 握 一 门 计 算 机 语 言, 可 选 类 别 有 高 级 语 言 程 序 设 计 类 数 据 库 编 程 类

中 国 软 科 学 年 第 期!!!

2006年顺德区高中阶段学校招生录取分数线

附 件 : 上 海 市 建 筑 施 工 企 业 施 工 现 场 项 目 管 理 机 构 关 键 岗 位 人 员 配 备 指 南 二 一 四 年 九 月 十 一 日 2

合 并 计 算 配 售 对 象 持 有 多 个 证 券 账 户 的, 多 个 证 券 账 户 市 值 合 并 计 算 确 认 多 个 证 券 账 户 为 同 一 配 售 对 象 持 有 的 原 则 为 证 券 账 户 注 册 资 料 中 的 账 户 持 有 人 名 称 有 效 身 份 证 明 文 件

生产支援功能 使用说明书(IP-110 篇)

第 期 李 伟 等 用 方 法 对 中 国 历 史 气 温 数 据 插 值 可 行 性 讨 论


中国石化油品销售企业CRM调研报告

3 月 30 日 在 中 国 证 券 报 上 海 证 券 报 证 券 时 报 证 券 日 报 和 上 海 证 券 交 易 所 网 站 上 发 出 召 开 本 次 股 东 大 会 公 告, 该 公 告 中 载 明 了 召 开 股 东 大 会 的 日 期 网 络 投 票 的 方 式 时 间 以 及 审

现 场 会 议 时 间 为 :2016 年 5 月 19 日 网 络 投 票 时 间 为 :2016 年 5 月 18 日 年 5 月 19 日 其 中 通 过 深 圳 证 券 交 易 所 交 易 系 统 进 行 网 络 投 票 的 时 间 为 2016 年 5 月 19 日 9:30-

光明乳业股份有限公司

2 熟 悉 Visual Basic 的 集 成 开 发 环 境 3 了 解 可 视 化 面 向 对 象 编 程 事 件 驱 动 交 互 式 开 发 等 基 本 概 念 4 了 解 Visual Basic 的 特 点 环 境 要 求 与 安 装 方 法 1 Visual Basic 开 发 应 用

<443A5C6D B5C30312EB9A4D7F7CEC4B5B55C30322EBACFCDACCEC4B5B55C C30342EC8CBC9E7CCFC5C31332ECFEEC4BFC5E0D1B55C E30385C322EB2D9D7F7CAD6B2E12E646F63>

<4D F736F F D D323630D6D0B9FAD3A6B6D4C6F8BAF2B1E4BBAFB5C4D5FEB2DFD3EBD0D0B6AF C4EAB6C8B1A8B8E6>

第二讲 数列

全国教师资格认定管理信息系统

HSK( 一 级 ) 考 查 考 生 的 日 常 汉 语 应 用 能 力, 它 对 应 于 国 际 汉 语 能 力 标 准 一 级 欧 洲 语 言 共 同 参 考 框 架 (CEF) A1 级 通 过 HSK( 一 级 ) 的 考 生 可 以 理 解 并 使 用 一 些 非 常 简 单 的 汉 语

第 六 章 债 券 股 票 价 值 评 估 1 考 点 一 : 债 券 价 值 的 影 响 因 素 2

Cybozu Garoon 3 管理员手册

《深圳市场首次公开发行股票网上按市值申购实施办法》.doc

Microsoft Word - 资料分析练习题09.doc

投 资 者 可 在 基 金 管 理 人 指 定 的 销 售 机 构 申 购 和 赎 回 美 元 等 外 币 销 售 的 基 金 份 额, 具 体 详 见 基 金 管 理 人 相 关 公 告 2 在 三 申 购 与 赎 回 的 原 则 部 分 增 加 : 1 本 基 金 采 用 多 币 种 销 售,

(Microsoft Word - NCRE\314\345\317\265\265\367\325\37313\324\27221\272\3051.doc)

¹ º ¹ º 农 业 流 动 人 口 是 指 户 口 性 质 为 农 业 户 口 在 流 入 地 城 市 工 作 生 活 居 住 一 个 月 及 以 上 的 流 动 人 口 非 农 流 动 人 口 是 指 户 口 性 质 为 非 农 户 口 在 流 入 地 城 市 工 作 生 活 居 住 一 个

航天信息 方案

目 录 1. 考 生 电 脑 安 装 配 置 考 生 电 脑 系 统 需 求 初 次 使 用 故 障 修 复 试 卷 结 构 与 分 值 在 线 考 试 登 录 考 前 倒

1 什 么 是 按 市 值 申 购? 第 二 部 分 新 股 申 购 常 见 难 点 解 析 ( 含 信 用 账 户 ) 答 : 投 资 者 持 有 市 值 不 低 于 1 万 元 才 能 参 与 申 购, 申 购 数 量 不 能 超 过 主 承 销 商 规 定 的 申 购 上 限, 且 不 得 超

系统设计文档_样稿管理模块 V1.1_.doc

证监会行政审批事项目录

ICS 35

三门峡市质量技术监督局清单公示

引言

国际财务报告准则第13号——公允价值计量

GB

2016 年 荔 湾 区 财 政 核 定 支 出 汇 总 表 表 二 单 位 名 称 : 广 州 文 化 公 园 基 本 支 出 项 目 支 出 科 目 编 码 预 算 科 目 名 称 一 般 公 共 预 算 5, , , , ,

一 公 共 卫 生 硕 士 专 业 学 位 论 文 的 概 述 学 位 论 文 是 对 研 究 生 进 行 科 学 研 究 或 承 担 专 门 技 术 工 作 的 全 面 训 练, 是 培 养 研 究 生 创 新 能 力, 综 合 运 用 所 学 知 识 发 现 问 题, 分 析 问 题 和 解 决

乐视云视频发行平台 操作手册 V1.1


秦川发展第五届第三次

3 复 试 如 何 准 备 4 复 试 成 绩 计 算 5 复 试 比 例 6 复 试 类 型 7 怎 么 样 面 对 各 种 复 试 04 05

注 意 : 如 上 图 所 示, 网 上 缴 费 (12 月 3 日 至 10 日 ) 与 春 季 高 考 现 场 确 认 工 作 (12 月 3 日 至 6 日 ) 同 时 进 行, 没 有 经 过 现 场 确 认 和 确 认 信 息 没 有 上 传 到 服 务 器 的 考 生 不 能 网 上 缴

Transcription:

s7200 宝 典 信 息 来 源 : 互 联 网 整 理 日 期 :2007.11.02 1:Step7 Micro/WIN V4.0 安 装 在 什 么 环 境 下 才 能 正 常 工 作? Step7 Micro/WIN V4.0 的 安 装 运 行 环 境 为 : Windows 2000 SP3 以 上 Windows XP Home Windows XP Professional 西 门 子 没 有 在 其 他 操 作 系 统 下 测 试, 不 保 证 能 够 使 用 2:Step7 Micro/WIN V4.0 和 其 他 的 版 本 兼 容 性 如 何? Micro/WIN V4.0 生 成 的 项 目 文 件, 旧 版 本 的 Micro/WIN 不 能 打 开 或 上 载 3:siemens200 PLC 硬 件 版 本 有 什 么 区 别? 二 代 S7-200(CPU22x) 系 列 也 分 几 个 主 要 的 硬 件 版 本 6ES721x-xxx21-xxxx 是 21 版 ;6ES721x-xxx22-xxxx 是 22 版 22 版 与 21 版 相 比, 硬 件 软 件 都 有 改 进 22 版 向 下 兼 容 21 版 的 功 能 22 版 与 21 的 主 要 区 别 是 : 21 版 CPU 的 自 由 口 通 讯 速 率 300 600 被 22 版 的 57600 115200 所 取 代,22 版 不 再 支 持 300 和 600 波 特 率, 22 版 不 再 有 智 能 模 块 位 置 的 限 制 4:plc 的 电 源 改 如 何 连 接? 在 给 CPU 进 行 供 电 接 线 时, 一 定 要 特 别 小 心 分 清 是 哪 一 种 供 电 方 式, 如 果 把 220VAC 接 到 24VDC 供 电 的 CPU 上, 或 者 不 小 心 接 到 24VDC 传 感 器 输 出 电 源 上, 都 会 造 成 CPU 的 损 坏 5:200PLC 的 处 理 器 是 多 少 位 的? S7-200 CPU 的 中 央 处 理 芯 片 数 据 长 度 为 32 位 从 CPU 累 加 器 AC0/AC1/AC2/AC3 的 数 据 长 度 也 可 以 看 出 6: 如 何 进 行 S7-200 的 电 源 需 求 与 计 算? S7-200 CPU 模 块 提 供 5VDC 和 24VDC 电 源 : 当 有 扩 展 模 块 时 CPU 通 过 I/O 总 线 为 其 提 供 5V 电 源, 所 有 扩 展 模 块 的 5V 电 源 消 耗 之 和 不 能 超 过 该 CPU 提 供 的 电 源 额 定 若 不 够 用 不 能 外 接 5V 电 源 每 个 CPU 都 有 一 个 24VDC 传 感 器 电 源, 它 为 本 机 输 入 点 和 扩 展 模 块 输 入 点 及 扩 展 模 块 继 电 器 线 圈 提 供 24VDC 如 果 电 源 要 求 超 出 了 CPU 模 块 的 电 源 定 额, 你 可 以 增 加 一 个 外 部 24VDC 电 源 来 提 供 给 扩 展 模 块 所 谓 电 源 计 算, 就 是 用 CPU 所 能 提 供 的 电 源 容 量, 减 去 各 模 块 所 需 要 的 电 源 消 耗 量

注 意 : EM277 模 块 本 身 不 需 要 24VDC 电 源, 这 个 电 源 是 专 供 通 讯 端 口 用 的 24VDC 电 源 需 求 取 决 于 通 讯 端 口 上 的 负 载 大 小 CPU 上 的 通 讯 口, 可 以 连 接 PC/PPI 电 缆 和 TD 200 并 为 它 们 供 电, 此 电 源 消 耗 已 经 不 必 再 纳 入 计 算 7:200PLC 能 在 零 下 20 度 工 作 吗? S7-200 的 工 作 环 境 要 求 为 : 0 C-55 C, 水 平 安 装 0 C-45 C, 垂 直 安 装 相 对 湿 度 95%, 不 结 露 西 门 子 还 提 供 S7-200 的 宽 温 度 范 围 产 品 (SIPLUS S7-200): 工 作 温 度 范 围 :-25 C-+70 C 相 对 湿 度 :55 C 时 98%,70 C 时 45% 其 他 参 数 与 普 通 S7-200 产 品 相 同 S7-200 的 宽 温 型 产 品, 每 种 都 有 其 单 独 的 订 货 号, 可 以 到 SIPLUS 产 品 主 页 查 询 如 果 没 有 找 到, 则 说 明 目 前 没 有 对 应 的 SIPLUS 产 品 文 本 和 图 形 显 示 面 板 没 有 宽 温 型 产 品 还 要 注 意 国 内 没 有 现 货, 如 需 要 请 和 当 地 西 门 子 办 事 处 或 经 销 商 联 系 8: 数 字 量 输 入 / 输 出 (DI/DO) 响 应 速 度 有 多 快? 能 作 高 速 输 入 和 输 出 吗? S7-200 在 CPU 单 元 上 设 有 硬 件 电 路 ( 芯 片 等 ) 处 理 高 速 数 字 量 I/O, 如 高 速 计 数 器 ( 输 入 ) 高 速 脉 冲 输 出 这 些 硬 件 电 路 在 用 户 程 序 的 控 制 下 工 作, 可 以 达 到 很 高 的 频 率 ; 但 点 数 受 到 硬 件 资 源 的 限 制 S7-200 CPU 按 照 以 下 机 制 循 环 工 作 : 读 取 输 入 点 的 状 态 到 输 入 映 像 区 执 行 用 户 程 序, 进 行 逻 辑 运 算, 得 到 输 出 信 号 的 新 状 态 将 输 出 信 号 写 入 到 输 出 映 像 区 只 要 CPU 处 于 运 行 状 态, 上 述 步 骤 就 周 而 复 始 地 执 行 在 第 二 步 中,CPU 也 执 行 通 讯 自 检 等 工 作 上 述 三 个 步 骤 是 S7-200 CPU 的 软 件 处 理 过 程, 可 以 认 为 就 是 程 序 扫 描 时 间 实 际 上,S7-200 对 数 字 量 的 处 理 速 度 受 到 以 下 几 个 因 素 的 限 制 : 输 入 硬 件 延 时 ( 从 输 入 信 号 状 态 改 变 的 那 一 刻 开 始, 到 CPU 刷 新 输 入 映 像 区 时 能 够 识 别 其 改 变 的 时 间 ) CPU 的 内 部 处 理 时 间, 包 括 : 读 取 输 入 点 的 状 态 到 输 入 映 像 区 执 行 用 户 程 序, 进 行 逻 辑 运 算, 得 到 输 出 信 号 的 新 状 态

将 输 出 信 号 写 入 到 输 出 映 像 区 输 出 硬 件 延 时 ( 从 输 出 缓 冲 区 状 态 改 变 到 输 出 点 真 实 电 平 改 变 的 时 间 ) 上 述 A,B,C 三 段 时 间, 就 是 限 制 PLC 处 理 数 字 量 响 应 速 度 的 主 要 因 素 一 个 实 际 的 系 统 可 能 还 需 要 考 虑 输 入 输 出 器 件 的 延 时, 如 输 出 点 外 接 的 中 间 继 电 器 动 作 时 间 等 表 1. 输 入 点 硬 件 延 时 以 上 数 据 都 在 S7-200 系 统 手 册 中 标 明, 这 里 只 是 列 表 比 较 CPU 上 的 部 分 输 入 点 延 时 ( 滤 波 ) 时 间 可 以 在 编 程 软 件 Micro/WIN 的 系 统 块 中 设 置, 其 缺 省 的 滤 波 时 间 是 6.4ms 如 果 把 容 易 受 到 干 扰 的 信 号 接 到 CPU 上 可 改 变 滤 波 时 间 的 DI 点 上, 调 整 滤 波 时 间 可 能 改 善 信 号 检 测 的 质 量 支 持 高 速 计 数 器 功 能 的 输 入 点 在 相 应 功 能 开 通 时 不 受 此 滤 波 时 间 约 束 滤 波 设 置 对 输 入 映 像 区 的 刷 新 开 关 量 输 入 中 断 脉 冲 捕 捉 功 能 同 样 有 效 表 2. CPU 输 出 硬 件 延 时 有 些 输 出 点 要 比 其 他 点 更 快 些, 是 因 为 它 们 可 以 用 于 高 速 输 出 功 能, 在 硬 件 上 有 特 殊 设 计 没 有 专 门 使 用 硬 件 高 速 输 出 功 能 时, 它 们 只 是 和 普 通 点 一 样 处 理 继 电 器 输 出 开 关 频 率 为 1Hz 表 3. 扩 展 模 块 输 出 硬 件 延 时 9:S7-200 处 理 快 速 响 应 信 号 的 对 策 有 那 些? 使 用 CPU 内 置 的 高 速 计 数 器 和 高 速 脉 冲 发 生 器 处 理 序 列 脉 冲 信 号 使 用 部 分 CPU 数 字 量 输 入 点 的 硬 件 中 断 功 能, 在 中 断 服 务 程 序 中 处 理 ; 进 入 中 断 的 延 时 可 以 忽 略 S7-200 拥 有 直 接 读 输 入 和 直 接 写 输 出 指 令, 可 以 越 过 程 序 扫 描 周 期 的 时 间 限 制 使 用 部 分 CPU 数 字 量 输 入 点 的 脉 冲 捕 捉 功 能 捕 捉 短 暂 的 脉 冲 注 意 : S7-200 系 统 中 最 小 周 期 的 定 时 任 务 为 1ms 所 有 实 现 快 速 信 号 处 理 的 措 施, 都 要 考 虑 所 有 限 制 因 素 的 影 响 例 如, 为 一 个 需 要 毫 秒 级 响 应 速 度 的 信 号 选 择 500μs 输 出 延 时 的 硬 件, 显 然 是 不 合 理 的 10:S7-200 程 序 扫 描 时 间 和 程 序 大 小 有 关 系 吗?

程 序 扫 描 时 间 与 用 户 程 序 的 大 小 成 正 比 S7-200 系 统 手 册 中 有 每 个 指 令 所 需 执 行 时 间 的 数 据 实 际 上 很 难 事 先 预 先 精 确 计 算 出 程 序 扫 描 时 间, 特 别 是 还 没 有 开 始 编 程 序 时 可 以 看 出, 常 规 的 PLC 处 理 模 式 不 适 合 时 间 响 应 要 求 高 的 数 字 量 信 号 可 能 需 要 根 据 具 体 任 务 采 用 一 些 特 别 的 方 法 11:CPU224 XP 高 速 脉 冲 输 出 最 快 能 达 到 多 少? CPU224 XP 的 高 速 脉 冲 输 出 Q0.0 和 Q0.1 支 持 高 达 100KHz 的 频 率 Q0.0 和 Q0.1 支 持 5-24VDC 输 出 但 是 它 们 必 须 和 Q0.2 - Q0.4 一 起 成 组 输 出 相 同 的 电 压 高 速 输 出 只 能 用 在 CPU224 XP DC/DC/DC 型 号 12:CPU 224 XP 本 体 上 的 模 拟 量 输 入 也 是 高 速 响 应 的 吗? 它 的 响 应 速 度 是 250ms, 不 同 于 模 拟 量 扩 展 模 块 的 数 据 CPU 224 XP 本 体 上 的 模 拟 量 I/O 芯 片 与 模 拟 量 模 块 所 用 的 不 同, 应 用 的 转 换 原 理 不 同, 因 此 精 度 和 速 度 不 一 样 13:CPU 224 XP 后 面 挂 的 模 拟 量 模 块 的 地 址 如 何 分 配? S7-200 的 模 拟 量 I/O 地 址 总 是 以 2 个 通 道 / 模 块 的 规 律 增 加 所 以 CPU 224 XP 后 面 的 第 一 个 模 拟 量 输 入 通 道 的 地 址 为 AIW4; 第 一 个 输 出 通 道 的 地 址 为 AQW4,AQW2 不 能 用 14:S7-200 CPU 上 的 通 讯 口 支 持 哪 些 讯 协 议? 1)PPI 协 议 : 西 门 子 专 为 S7-200 开 发 的 通 讯 协 议 2)MPI 协 议 : 不 完 全 支 持, 只 能 作 从 站 3) 自 由 口 模 式 : 由 用 户 自 定 义 的 通 讯 协 议, 用 于 与 其 他 串 行 通 讯 设 备 通 讯 ( 如 串 行 打 印 机 等 ) S7-200 编 程 软 件 Micro/WIN 提 供 了 通 过 自 由 口 模 式 实 现 的 通 讯 功 能 : 1)USS 指 令 库 : 用 于 S7-200 与 西 门 子 变 频 器 (MM4 系 列 SINAMICS G110 和 老 的 MM3 系 列 ) 2)Modbus RTU 指 令 库 : 用 于 与 支 持 Modbus RTU 主 站 协 议 的 设 备 通 讯 S7-200 CPU 上 的 两 个 通 讯 口 基 本 一 样, 没 有 什 么 特 殊 的 区 别 它 们 可 以 各 自 在 不 同 的 模 式 通 讯 速 率 下 工 作 ; 它 们 的 口 地 址 甚 至 也 可 相 同 分 别 连 接 到 CPU 上 两 个 通 讯 口 上 的 设 备, 不 属 于 同 一 个 网 络 S7-200 CPU 不 能 充 当 网 桥 的 作 用 15:S7-200 CPU 上 的 通 讯 口 都 能 干 什 么 用? 1) 安 装 了 编 程 软 件 Micro/WIN 的 编 程 电 脑 可 以 对 plc 编 程 2) 可 以 连 接 其 他 S7-200 CPU 的 通 讯 口 组 成 网 络 3) 可 以 与 S7-300/400 的 MPI 通 讯 口 通 讯 4) 可 以 连 接 西 门 子 的 HMI 设 备 ( 如 TD 200 TP170micro TP170 TP270 等 ) 5) 可 以 通 过 OPC Server(PC Access V1.0) 进 行 数 据 发 布 6) 可 以 连 接 其 他 串 行 通 讯 设 备 7) 可 以 与 第 三 方 HMI 通 讯

16:S7-200 CPU 上 的 通 讯 口 是 否 可 以 扩 展? 工 控 之 家 - 搜 集 整 理 http://www.gkonghome.com 不 能 扩 展 出 与 CPU 通 讯 口 功 能 完 全 一 样 的 通 讯 口 在 CPU 上 的 通 讯 口 不 够 的 情 况 下, 可 以 考 虑 : 购 买 具 有 更 多 通 讯 口 的 CPU 考 察 连 接 设 备 的 种 类, 如 果 其 中 有 西 门 子 的 人 机 界 面 (HMI, 操 作 面 板 ), 可 以 考 虑 增 加 EM277 模 块, 把 面 板 连 接 到 EM277 上 17:S7-200 CPU 上 的 通 讯 口, 通 讯 距 离 究 竟 有 多 远? S7-200 系 统 手 册 上 给 出 的 数 据 是 一 个 网 段 50m, 这 是 在 符 合 规 范 的 网 络 条 件 下, 能 够 保 证 的 通 讯 距 离 凡 超 出 50m 的 距 离, 应 当 加 中 继 器 加 一 个 中 继 器 可 以 延 长 通 讯 网 络 50 米 如 果 加 一 对 中 继 器, 并 且 它 们 之 间 没 有 S7-200 CPU 站 存 在 ( 可 以 有 EM277), 则 中 继 器 之 间 的 距 离 可 以 达 到 1000 米 符 合 上 述 要 求 就 可 以 做 到 非 常 可 靠 的 通 讯 实 际 上, 有 用 户 做 到 了 超 过 50m 距 离 而 不 加 中 继 器 的 通 讯 西 门 子 不 能 保 证 这 样 的 通 讯 一 定 成 功 18: 用 户 在 设 计 网 络 时, 应 当 考 虑 到 哪 些 因 素? S7-200 CPU 上 的 通 讯 口 在 电 气 上 是 RS-485 口,RS-485 支 持 的 距 离 是 1000m S7-200 CPU 上 的 通 讯 口 是 非 隔 离 的, 需 要 注 意 保 证 网 络 上 的 各 通 讯 口 电 位 相 等 信 号 传 输 条 件 ( 网 络 硬 件 如 电 缆 连 接 器, 以 及 外 部 的 电 磁 环 境 ) 对 通 讯 成 功 与 否 的 影 响 很 大 19:S7-200 的 有 实 时 时 钟 吗? CPU221 CPU222 没 有 内 置 的 实 时 时 钟, 需 要 外 插 时 钟 / 电 池 卡 才 能 获 得 此 功 能 CPU224 CPU226 和 CPU226 XM 都 有 内 置 的 实 时 时 钟 20: 如 何 设 置 日 期 时 间 值, 使 之 开 始 走 动? 1) 用 编 程 软 件 (Micro/WIN) 的 菜 单 命 令 PLC > Time of Day Clock..., 通 过 与 CPU 的 在 线 连 接 设 置, 完 成 后 时 钟 开 始 走 动 2) 编 用 户 程 序 使 用 Set_RTC( 设 置 时 钟 ) 指 令 设 置 21: 智 能 模 块 的 地 址 是 如 何 分 配 的? S7-200 系 统 中 除 了 数 字 量 和 模 拟 量 I/O 扩 展 模 块 占 用 输 入 / 输 出 地 址 外, 一 些 智 能 模 块 ( 特 殊 功 能 模 块 ) 也 需 要 在 地 址 范 围 中 占 用 地 址 这 些 数 据 地 址 被 模 块 用 来 进 行 功 能 控 制, 一 般 不 直 接 连 接 到 外 部 信 号 CP243-2(AS-Interface 模 块 ) 除 了 使 用 IB/QB 作 为 状 态 和 控 制 字 节 外,AI 和 AQ 用 于 AS-Interface 从 站 的 地 址 映 射

此 主 题 相 关 图 片 如 下 : 22:Step7 - Micro/WIN 的 兼 容 性 如 何? 目 前 常 见 的 Micro/WIN 版 本 有 V4.0 和 V3.2 再 老 的 版 本, 如 V2.1, 除 了 用 于 转 化 老 项 目 文 件, 已 经 没 有 继 续 应 用 的 价 值 不 同 版 本 的 Micro/WIN 生 成 的 项 目 文 件 不 同 高 版 本 的 Micro/WIN 能 够 向 下 兼 容 低 版 本 软 件 生 成 的 项 目 文 件 ; 低 版 本 的 软 件 不 能 打 开 高 版 本 保 存 的 项 目 文 件 建 议 用 户 总 是 使 用 最 新 的 版 本, 目 前 最 新 的 版 本 是 Step7 - Micro/WIN V4.0 SP1 23: 通 讯 口 参 数 如 何 设 置? 缺 省 情 况 下,S7-200 CPU 的 通 讯 口 处 于 PPI 从 站 模 式, 地 址 为 2, 通 讯 速 率 为 9.6K 要 更 改 通 讯 口 的 地 址 或 通 讯 速 率, 必 须 在 系 统 块 中 的 Communicaiton Ports( 通 讯 端 口 ) 选 项 卡 中 设 置, 然 后 将 系 统 块 下 载 到 CPU 中, 新 的 设 置 才 能 起 作 用 24: 如 何 设 置 通 讯 口 参 数 才 能 提 高 网 络 的 运 行 性 能? 假 设 一 个 网 络 中 有 2 号 站 和 10 号 站 作 为 主 站,( 10 号 站 的 ) 最 高 地 址 设 置 为 15 则 对 于 2 号 站 来 说, 所 谓 地 址 间 隙 就 是 3 到 9 的 范 围 ; 对 于 10 号 站 来 说, 地 址 间 隙 就 是 11 到 最 高 站 址 15 的 范 围, 同 时 还 包 括 0 号 和 1 号 站 网 络 通 讯 中 的 主 站 之 间 会 传 递 令 牌, 分 时 单 独 控 制 整 个 网 络 上 的 通 讯 活 动 网 络 上 的 所 有 主 站 不 会 同 时 加 入 到 令 牌 传 递 环 内, 因 此 必 须 由 某 个 持 有 令 牌 的 主 站 定 时 查 看 比 自 己 高 的 站 址 是 否 有 新 的 主 站 加 入 刷 新 因 数 指 的 就 是 在 第 几 次 获 得 令 牌 后 检 查 一 次 高 站 址 如 果 为 2 号 站 设 置 了 地 址 间 隙 因 数 3, 则 在 2 号 站 第 三 次 拿 到 令 牌 时 会 检 查 地 址 间 隙 中 的 一 个 地 址, 看 是 否 有 新 的 主 站 加 入 设 置 比 较 大 的 因 数 会 提 高 网 络 的 性 能 ( 因 为 无 谓 的 站 址 检 查 少 了 ), 但 会 影 响 新 的 主 站 加 入 的 速 度 如 下 设 置 会 使 网 络 的 运 行 性 能 提 高 : 1) 设 置 最 接 近 实 际 最 高 站 址 的 最 高 地 址 2) 使 所 有 主 站 地 址 连 续 排 列, 这 样 就 不 会 再 进 行 地 址 间 隙 中 的 新 主 站 检 测 25: 如 何 设 置 数 据 保 持 功 能? 数 据 保 持 设 置 定 义 CPU 如 何 处 理 各 数 据 区 的 数 据 保 持 任 务 在 数 据 保 持 设 置 区 中 选 中 的 就 是 要 保 持 其 数 据 内 容 的 数 据 区 所 谓 保 持 就 是 在 CPU 断 电 后 再 上 电, 数 据 区 域 的 内 容 是 否 保 持 断 电 前 的 状 态 在 这 里 设 置 的 数 据 保 持 功 能 靠 如 下 几 种 方 式 实 现 : 在 这 里 设 置 的 数 据 保 持 功 能 靠 CPU 内 置 的 超 级 电 容 实 现, 超 级 电 容 放 电 完 毕 后, 如 果 安 装 了 外 插 电 池 ( 或 CPU221/222 用 的 时 钟 / 电 池 ) 卡, 则 电 池 卡 会 继 续 数 据 保 持 的 电 源 供 电, 直 到 放 电 完 毕 数 据 在 断 电 前 被 自 动 写 入 相 应 的 EEPROM 数 据 区 中 ( 如 果 设 置 MB0 - MB13 为 保 持 ) 26: 数 据 保 持 设 置 与 EEPROM 有 什 么 关 系?

如 果 将 MB0 - MB13 共 14 个 字 节 范 围 中 的 存 储 单 元 设 置 为 保 持, 则 CPU 在 断 电 时 会 自 动 将 其 内 容 写 入 到 EEPROM 的 相 应 区 域 中, 在 重 新 上 电 后 用 EEPROM 的 内 容 覆 盖 这 些 存 储 区 如 果 将 其 他 数 据 区 的 范 围 设 置 为 不 保 持,CPU 会 在 重 新 上 电 后 将 EEPROM 中 数 值 复 制 到 相 应 的 地 址 如 果 将 数 据 区 范 围 设 置 为 保 持, 如 果 内 置 超 级 电 容 (+ 电 池 卡 ) 未 能 成 功 保 持 数 据, 则 会 将 EEPROM 的 内 容 覆 盖 相 应 的 数 据 区, 反 之 则 不 覆 盖 27: 设 置 的 密 码 分 哪 几 种? 在 系 统 块 中 设 置 CPU 密 码 以 限 制 用 户 对 CPU 的 访 问 可 以 分 等 级 设 置 密 码, 给 其 他 人 员 开 放 不 同 等 级 的 权 限 28: 设 置 了 CPU 密 码 后, 为 何 看 不 出 密 码 已 经 生 效? 在 系 统 块 中 设 置 了 CPU 密 码 并 下 载 后, 因 为 你 仍 然 保 持 了 Micro/WIN 与 CPU 的 通 讯 连 接, 所 以 CPU 不 会 对 设 置 密 码 的 Micro/WIN 做 保 护 要 检 验 密 码 是 否 生 效, 可 以 : 1) 停 止 Micro/WIN 与 CPU 的 通 讯 一 分 钟 以 上 2) 关 闭 Micro/WIN 程 序, 再 打 开 3) 停 止 CPU 的 供 电, 再 送 电 29: 数 字 量 / 模 拟 量 有 冻 结 功 能 吗? 数 字 量 / 模 拟 量 输 出 表 规 定 的 是 当 CPU 处 于 停 机 (STOP) 状 态 时, 数 字 量 输 出 点 或 者 模 拟 量 输 出 通 道 如 何 操 作 此 功 能 对 于 一 些 必 须 保 持 动 作 运 转 的 设 备 非 常 重 要 如 抱 闸, 或 者 一 些 关 键 的 阀 门 等, 不 允 许 在 调 试 PLC 时 停 止 动 作, 就 必 须 在 系 统 块 的 输 出 表 中 进 行 设 置 数 字 量 : 在 选 中 Freeze output in last state 后, 冻 结 最 后 的 状 态, 则 在 CPU 进 入 STOP 状 态 时 数 字 量 输 出 点 保 持 停 机 前 的 状 态 ( 是 1 仍 然 是 1, 是 0 保 持 为 0), 同 时 下 面 的 b. 表 不 起 作 用 如 果 未 选 中, 那 么 选 中 的 输 出 点 会 保 持 ON(1) 的 状 态, 未 选 中 的 为 0 模 拟 量 : 在 选 中 Freeze output in last state 后, 冻 结 最 后 的 状 态, 则 在 CPU 进 入 STOP 状 态 时 模 拟 量 输 出 通 道 保 持 停 机 前 的 状 态, 同 时 下 面 的 表 不 起 作 用, 未 选 中 时. 在 下 面 表 中 各 个 规 定 模 拟 量 输 出 通 道 在 CPU 进 入 STOP 状 态 时 的 输 出 值 30: 数 字 量 输 入 滤 波 器 是 什 么 作 用, 该 如 何 设 置? 可 以 为 CPU 上 的 数 字 量 输 入 点 选 择 不 同 的 输 入 滤 波 时 间 如 果 输 入 信 号 有 干 扰 噪 音, 可 调 整 输 入 滤 波 时 间, 滤 除 干 扰, 以 免 误 动 作 滤 波 时 间 可 在 0.20 ~ 12.8ms 的 范 围 中 选 择 几 档 如 果 滤 波 时 间 设 定 为 6.40ms, 数 字 量 输 入 信 号 的 有 效 电 平 ( 高 或 低 ) 持 续 时 间 小 于 6.4ms 时,CPU 会 忽 略 它 ; 只 有 持 续 时 间 长 于 6.4ms 时, 才 有 可 能 识 别 另 外 : 支 持 高 速 计 数 器 功 能 的 输 入 点 在 相 应 功 能 开 通 时 不 受 此 滤 波 时 间 约 束 滤 波 设 置 对 输 入 映 像 区 的 刷 新 开 关 量 输 入 中 断 脉 冲 捕 捉 功 能 都 有 效 31: 模 拟 量 滤 波 有 什 么 效 果?

一 般 情 况 下 选 用 S7-200 的 模 拟 量 滤 波 功 能 就 不 必 再 另 行 编 制 用 户 的 滤 波 程 序 如 果 对 某 个 通 道 选 用 了 模 拟 量 滤 波,CPU 将 在 每 一 程 序 扫 描 周 期 前 自 动 读 取 模 拟 量 输 入 值, 这 个 值 就 是 滤 波 后 的 值, 是 所 设 置 的 采 样 数 的 平 均 值 模 拟 量 的 参 数 设 置 ( 采 样 数 及 死 区 值 ) 对 所 有 模 拟 量 信 号 输 入 通 道 有 效 如 果 对 某 个 通 道 不 滤 波, 则 CPU 不 会 在 程 序 扫 描 周 期 开 始 时 读 取 平 均 滤 波 值, 而 只 在 用 户 程 序 访 问 此 模 拟 量 通 道 时, 直 接 读 取 当 时 实 际 值 32: 模 拟 量 滤 波 死 区 值 如 何 设 置? 死 区 值, 定 义 了 计 算 模 拟 量 平 均 值 的 取 值 范 围 如 果 采 样 值 都 在 这 个 范 围 内, 就 计 算 采 样 数 所 设 定 的 平 均 值 ; 如 果 当 前 最 新 采 样 的 值 超 过 了 死 区 的 上 限 或 下 限, 则 该 值 立 刻 被 采 用 为 当 前 的 新 值, 并 作 为 以 后 平 均 值 计 算 的 起 始 值 这 就 允 许 滤 波 器 对 模 拟 量 值 的 大 的 变 化 有 一 个 快 速 响 应 死 区 值 设 为 0, 表 示 禁 止 死 区 功 能, 即 所 有 的 值 都 进 行 平 均 值 计 算, 不 管 该 值 有 多 大 的 变 化 对 于 快 速 响 应 要 求, 不 要 把 死 区 值 设 为 0, 而 把 它 设 为 可 预 期 的 最 大 的 扰 动 值 (320 为 满 量 程 32000 的 1%) 33: 模 拟 量 滤 波 的 设 置 应 该 注 意 哪 些? 1) 为 变 化 比 较 缓 慢 的 模 拟 量 输 入 选 用 滤 波 器 可 以 抑 制 波 动 2) 为 变 化 较 快 的 模 拟 量 输 入 选 用 较 小 的 采 样 数 和 死 区 值 会 加 快 响 应 速 度 3) 对 高 速 变 化 的 模 拟 量 值 不 要 使 用 滤 波 器 4) 如 果 用 模 拟 量 传 递 数 字 量 信 号, 或 者 使 用 热 电 阻 (EM231 RTD) 热 电 偶 ( EM231 TC) AS-Interface (CP243-2) 模 块 时, 不 能 使 用 滤 波 器 34: 如 何 让 Micro/WIN 中 的 监 控 响 应 更 快? 可 以 设 置 背 景 通 讯 时 间, 背 景 通 讯 时 间 规 定 用 于 运 行 模 式 编 程 和 程 序 数 据 监 控 的 Micro/WIN 和 CPU 的 通 讯 时 间 占 整 个 程 序 扫 描 周 期 的 百 分 比 增 加 这 个 时 间 可 以 增 加 监 控 的 通 讯 机 会, 在 Micro/WIN 中 的 响 应 会 感 觉 快 一 些, 但 是 同 时 会 加 长 程 序 扫 描 时 间 35:cpu 上 的 指 示 灯 可 以 自 定 义 吗? 可 以 通 过 用 户 自 定 义 指 示 灯, 23 版 CPU 的 LED 指 示 灯 (SF/DIAG) 能 够 显 示 两 种 颜 色 ( 红 / 黄 ) 红 色 指 示 SF( 系 统 故 障 ), 黄 色 DIAG 指 示 灯 可 以 由 用 户 自 定 义 自 定 义 LED 指 示 灯 可 以 由 以 下 方 法 控 制 : 1) 在 系 统 块 的 配 置 LED 选 项 卡 中 设 置 2) 在 用 户 程 序 中 使 用 DIAG_LED 指 令 点 亮 上 述 条 件 之 间 是 或 的 关 系 如 果 同 时 出 现 SF 和 DIAG 两 种 指 示, 红 色 和 黄 色 灯 会 交 替 闪 烁 36: 在 任 何 时 候 我 都 可 以 使 用 全 部 的 程 序 存 储 区 吗? 23 版 CPU 的 新 功 能 ( 运 行 时 编 程 ) 需 要 占 用 一 部 分 程 序 存 储 空 间 如 果 要 利 用 全 部 的 程 序 存 储 区, 对 于 特 定 的 一 些 CPU 型 号, 需 要 禁 止 运 行 模 式 编 程 功 能 37: 如 果 我 忘 了 密 码, 如 何 访 问 一 个 带 密 码 的 CPU? 即 便 CPU 有 密 码 保 护, 你 也 可 以 不 受 限 制 地 使 用 以 下 功 能 : 1) 读 写 用 户 数 据 2) 启 动, 停 止 CPU

3) 读 取 和 设 置 实 时 时 钟 如 果 不 知 道 密 码, 用 户 不 能 读 取 或 修 改 一 个 带 三 级 密 码 保 护 的 CPU 中 的 程 序 38: 如 何 清 除 设 置 的 密 码? 如 果 你 不 知 道 CPU 的 密 码, 你 必 须 清 除 CPU 内 存, 才 能 重 新 下 装 程 序 执 行 清 除 CPU 指 令 并 不 会 改 变 CPU 原 有 的 网 络 地 址 波 特 率 和 实 时 时 钟 ; 如 果 有 外 插 程 序 存 储 卡, 其 内 容 也 不 会 改 变 清 除 密 码 后, CPU 中 原 有 的 程 序 将 不 存 在 要 清 除 密 码, 可 按 如 下 3 中 方 法 操 作 : 1) 在 Micro/WIN 中 选 择 菜 单 PLC > Clear 选 择 所 有 三 种 块 并 按 "OK" 确 认 2) 另 外 一 种 方 法 是 通 过 程 序 wipeout.exe 来 恢 复 CPU 的 缺 省 设 置 这 个 程 序 可 在 STEP7-Micro/WIN 安 装 光 盘 中 找 到 ; 3) 另 外, 还 可 以 在 CPU 上 插 入 一 个 含 有 未 加 密 程 序 的 外 插 存 储 卡, 上 电 后 此 程 序 会 自 动 装 入 CPU 并 且 覆 盖 原 有 的 带 密 码 的 程 序 然 后 CPU 可 以 自 由 访 问 39:POU 加 密 后 我 还 能 正 常 使 用 吗? POU 即 程 序 组 织 单 元, 包 括 S7-200 项 目 文 件 中 的 主 程 序 (OB1) 子 程 序 和 中 断 服 务 程 序 POU 可 以 单 独 加 密, 加 密 后 的 POU 会 显 示 一 个 锁 的 标 记, 不 能 打 开 查 看 程 序 内 容 程 序 下 载 到 CPU 中, 再 上 载 后 也 保 持 加 密 状 态 西 门 子 公 司 随 编 程 软 件 Micro/WIN 提 供 的 库 指 令 指 令 向 导 生 成 的 子 程 序 中 断 程 序 都 加 了 密 加 密 并 不 妨 碍 使 用 它 们 40: 我 能 对 整 个 工 程 项 目 文 件 进 行 加 密 吗? 使 用 Step7 - Micro/WIN V4.0 以 上 版 本, 用 户 可 以 为 整 个 Project( 项 目 ) 文 件 加 密, 使 不 知 道 密 码 的 人 无 法 打 开 项 目 在 Micro/WIN 的 File( 文 件 ) 菜 单 中 的 Set Password( 设 置 密 码 ) 命 令, 在 弹 出 的 对 话 框 中 输 入 最 多 16 个 字 符 的 项 目 文 件 密 码 密 码 可 以 是 字 母 或 数 字 的 组 合, 区 分 大 小 写 41: 如 何 打 开 老 版 本 Micro/Win 创 建 的 项 目 文 件? 在 正 版 STEP7 Micro/WIN 软 件 光 盘 中, 都 可 在 Old Realeses 文 件 夹 中 找 到 V2.1 版 本 的 Micro/WIN 安 装 软 件, 此 版 本 的 Micro/WIN 可 打 开 以 前 老 版 本 创 建 的 项 目 文 件 通 过 它 作 为 桥 梁, 另 存 老 版 本 的 软 件 后, 可 在 最 新 版 本 STEP7 Micro/WIN 软 件 中 打 开 注 : 如 果 打 开 后 发 现 有 的 网 络 显 示 为 红 色 的 invalid( 非 法 ), 则 可 能 是 PLC 型 号 太 低 版 本 太 旧 了, 此 时 可 选 择 高 型 号 或 者 新 版 本 的 CPU 如 : 在 命 令 菜 单 的 PLC > Type 中 将 CPU222 改 为 CPU224 42: 如 何 知 道 自 己 所 编 程 序 大 小? Micro/WIN 中 的 命 令 菜 单 中 执 行 PLC>Compile 后, 在 Micro/WIN 下 方 的 显 示 窗 口 ( 消 息 输 出 窗 口 ) 可 找 到 你 所 编 程 序 的 大 小 占 用 数 据 块 的 大 小 等 43: 编 译 出 错 怎 么 办? 在 编 译 后, 如 果 有 错, 将 不 能 下 装 程 序 到 CPU 可 在 Micro/WIN 下 方 的 窗 口 查 看 错 误, 双 击 该 错 误 即 进 入 到 程 序 中 该 错 误 所 在 处, 根 据 系 统 手 册 中 的 指 令 要 求 进 行 修 改 44: 如 何 知 道 自 己 所 编 程 序 的 扫 描 时 间? 在 程 序 运 行 过 一 次 以 后, 可 在 Micro/WIN 中 的 命 令 菜 单 中 在 线 查 看 PLC>Information 可 找 到 CPU 中 程 序 的 扫

描 时 间 45: 如 何 查 找 所 使 用 的 程 序 地 址 空 间 是 否 重 复 使 用? 在 对 程 序 进 行 编 译 后, 可 以 点 击 View 浏 览 条 中 的 交 叉 参 考 (Cross Reference) 按 钮 进 入, 可 以 看 到 程 序 中 所 使 用 元 素 的 详 细 的 交 叉 参 考 信 息 及 字 节 和 位 的 使 用 情 况 在 交 叉 参 考 中 可 直 接 点 击 该 地 址, 便 进 入 到 程 序 中 该 地 址 所 在 处 46: 在 线 监 控 时, 在 程 序 块 中 为 何 指 令 功 能 块 竟 然 是 红 色? 如 果 在 程 序 编 辑 器 中 在 线 监 控, 发 现 有 红 色 的 指 令 功 能 块, 说 明 发 生 了 错 误 或 问 题 从 系 统 手 册 可 以 查 到 导 致 ENO=0 的 错 误 如 果 是 非 致 命 故 障, 可 以 在 菜 单 PLC > Information 对 话 框 中 查 看 错 误 类 型 对 于 NetR/NetW( 网 络 读 / 写 ) XMT/RCV( 自 由 口 发 送 / 接 收 ) PLS 等 等 与 PLC 操 作 系 统 或 硬 件 设 置 有 关 的 指 令, 在 运 行 时 变 红, 其 最 可 能 的 原 因 是 在 指 令 仍 然 在 执 行 的 过 程 中 多 次 调 用, 或 者 当 时 通 讯 口 忙 47:S7-200 的 高 速 输 入 输 出 如 何 使 用? S7-200 CPU 上 的 高 速 输 入 输 出 端 子, 其 接 线 与 普 通 数 字 量 I/O 相 同 但 高 速 脉 冲 输 出 必 须 使 用 直 流 晶 体 管 输 出 型 的 CPU( 即 DC/DC/DC 型 ) 48:NPN/PNP 输 出 的 旋 转 编 码 器 ( 和 其 他 传 感 器 ), 能 否 接 到 S7-200 CPU 上? 都 可 以 S7-200 CPU 和 扩 展 模 块 上 的 数 字 量 输 入 可 以 连 接 源 型 或 漏 型 的 传 感 器 输 出, 连 接 时 只 要 相 应 地 改 变 公 共 端 子 的 接 法 ( 是 电 源 L+ 连 接 到 输 入 公 共 端 还 是 电 源 的 M 连 接 到 公 共 端 ) 49:S7-200 能 否 使 用 两 线 制 的 数 字 量 ( 开 关 量 ) 传 感 器? 可 以, 但 必 须 保 证 传 感 器 的 静 态 工 作 电 流 ( 漏 电 流 ) 小 于 1mA 西 门 子 有 相 关 的 产 品, 如 用 于 PLC 的 接 近 开 关 (BERO) 等 50:S7-200 是 否 有 输 入 输 出 点 可 以 复 用 的 模 块? S7-200 的 数 字 量 模 拟 量 输 入 / 输 出 点 不 能 复 用 ( 即 既 能 当 作 输 入, 又 能 当 作 输 出 ) 51:CPU224 XP 的 高 速 输 入 输 出 到 底 能 达 到 100K 还 是 200K? 新 产 品 CPU224 XP 高 速 输 入 中 的 两 路 支 持 更 加 高 的 速 度 用 作 单 相 脉 冲 输 入 时, 可 以 达 到 200KHz; 用 作 双 相 90 正 交 脉 冲 输 入 时, 速 度 可 达 100KHz CPU224 XP 的 两 路 高 速 数 字 量 输 出 速 率 可 以 达 到 100KHz 52: CPU224 XP 的 高 速 输 入 (I0.3/4/5) 是 5VDC 信 号, 其 他 输 入 点 是 否 可 以 接 24VDC 信 号? 可 以 只 需 将 两 种 信 号 供 电 电 源 的 公 共 端 都 连 接 到 1M 端 子 这 两 种 信 号 必 须 同 时 为 漏 型 或 源 型 输 入 信 号 53:CPU224 XP 的 高 速 输 出 点 Q0.0 和 Q0.1 接 5V 电 源, 其 他 点 如 Q0.2/3/4 是 否 可 以 接 24V 电 压? 不 可 以 必 须 成 组 连 接 相 同 的 电 压 等 级 54: 竟 然 有 模 拟 量 无 法 滤 波?

由 于 CPU 224 XP 本 体 上 的 模 拟 量 转 换 芯 片 的 原 理 与 扩 展 模 拟 量 模 块 不 同, 不 需 要 选 择 滤 波 55: 什 么 是 单 极 性 双 极 性? 双 极 性 就 是 信 号 在 变 化 的 过 程 中 要 经 过 零, 单 极 性 不 过 零 由 于 模 拟 量 转 换 为 数 字 量 是 有 符 号 整 数, 所 以 双 极 性 信 号 对 应 的 数 值 会 有 负 数 在 S7-200 中, 单 极 性 模 拟 量 输 入 / 输 出 信 号 的 数 值 范 围 是 0-32000; 双 极 性 模 拟 量 信 号 的 数 值 范 围 是 -32000-+32000 56: 同 一 个 模 块 的 不 同 通 道 是 否 可 以 分 别 接 电 流 和 电 压 型 输 入 信 号? 可 以 分 别 按 照 电 流 和 电 压 型 信 号 的 要 求 接 线 但 是 DIP 开 关 设 置 对 整 个 模 块 的 所 有 通 道 有 效, 在 这 种 情 况 下, 电 流 电 压 信 号 的 规 格 必 须 能 设 置 为 相 同 的 DIP 开 关 状 态 如 上 面 表 1 表 2 中,0-5V 和 0-20mA 信 号 具 有 相 同 的 DIP 设 置 状 态, 可 以 接 入 同 一 个 模 拟 量 模 块 的 不 同 通 道 57: 模 拟 量 应 该 如 何 换 算 成 期 望 的 工 程 量 值? 模 拟 量 的 输 入 / 输 出 都 可 以 用 下 列 的 通 用 换 算 公 式 换 算 : Ov = [(Osh - Osl)*(Iv - Isl)/(Ish - Isl)] + Osl 其 中 : Ov: 换 算 结 果 Iv: 换 算 对 象 Osh: 换 算 结 果 的 高 限 Osl: 换 算 结 果 的 低 限 Ish: 换 算 对 象 的 高 限 Isl: 换 算 对 象 的 低 限 59: 为 什 么 模 拟 量 是 一 个 变 动 很 大 的 不 稳 定 的 值? 可 能 是 如 下 原 因 : 你 可 能 使 用 了 一 个 自 供 电 或 隔 离 的 传 感 器 电 源, 两 个 电 源 没 有 彼 此 连 接, 即 模 拟 量 输 入 模 块 的 电 源 地 和 传 感 器 的 信 号 地 没 有 连 接 这 将 会 产 生 一 个 很 高 的 上 下 振 动 的 共 模 电 压, 影 响 模 拟 量 输 入 值 另 一 个 原 因 可 能 是 模 拟 量 输 入 模 块 接 线 太 长 或 绝 缘 不 好 可 以 用 如 下 方 法 解 决 : 1) 连 接 传 感 器 输 入 的 负 端 与 模 块 上 的 公 共 M 端 以 补 偿 此 种 波 动 ( 但 要 注 意 确 保 这 是 两 个 电 源 系 统 之 间 的 唯 一 联 系 ) 背 景 是 : 模 拟 量 输 入 模 块 内 部 是 不 隔 离 的 ; 共 模 电 压 不 应 大 于 12V; 对 于 60Hz 干 扰 信 号 的 共 模 抑 制 比 为 40dB 2) 使 用 模 拟 量 输 入 滤 波 器 60:EM231 模 块 上 的 SF 红 灯 为 何 闪 烁? SF 红 灯 闪 烁 有 两 个 原 因 : 模 块 内 部 软 件 检 测 出 外 接 热 电 阻 断 线, 或 者 输 入 超 出 范 围 由 于 上 述 检 测 是 两 个 输 入 通 道 共 用 的, 所 以 当 只 有 一 个 通 道 外 接 热 电 阻 时,SF 灯 必 然 闪 烁 解 决 方 法 是 将 一 个 100 Ohm 的 电 阻,

按 照 与 已 用 通 道 相 同 的 接 线 方 式 连 接 到 空 的 通 道 ; 或 者 将 已 经 接 好 的 那 一 路 热 电 阻 的 所 有 引 线, 一 一 对 应 连 接 到 空 的 通 道 上 上 传 时 间 :2006-01-01 16:11:27