芯航线 FPGA 开发板, 一套让你真正从零基础到成长为具备独立开发能力的 FPGA 学习平台 三 时序逻辑电路设计之计数器 实验目的 : 以计数器为例学会简单的时序逻辑电路设计 实验平台 : 芯航线 FPGA 核心板 实验原理 : 时序逻辑电路是指电路任何时刻的稳态输出不仅取决于当前的输入, 还与

Similar documents
IC芯片自主创新设计实验

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

Huawei Technologies Co

untitled

第一章.doc

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

a b c d e f g C2 C1 2

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

PowerPoint Presentation

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

姓名

untitled

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

STEP-MAX10 V2软件手册

B 6 A A N A S A +V B B B +V 2

untitled

untitled

<4D F736F F F696E74202D20D7BFD4BDB9A4B3CCCAA6D6AE454441BCBCCAF5BCB0D3A6D3C3B5DA34BDB22E BBCE6C8DDC4A3CABD5D>

PowerPoint Presentation

程式人雜誌

untitled

untitled

FPGA 培训专家 FPGA 入门课程 3- 分频器 第三节分频器 8 分频器 ; 例 : 输入为 50Mhz 占空比为 ( 高低电平持续时间的比值 )50% 的时钟, 将其 8 分频后输出分析 : 将 50Mhz8 分频频率为 50/8=6.25Mhz 周期为 20n

ebook122-3

ebook122-11

数字电子技术与微处理器基础

500013安瑞证券投资基金2004年半年度报告(正文)

景德镇陶瓷学院科技艺术学院

资产管理年度报告内容与格式

附錄四 中國企業H 股公司名單 (主板)

Microsoft Word - 16号-(企业处 40份)关于公布2015年成都市中小企业成长工程培育企业名单暨网上填报信息的通知

bnb.PDF

PowerPoint 演示文稿

穨R _report.PDF

⊙内容:常用逻辑电路设计

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft Word - FPGA的学习流程.doc

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2

第一章

<4D F736F F D20D5E3BFC6B7A2CCF5A1B A1B BAC5B9D8D3DAB9ABB2BC C4EACAA1BCB6B8DFD0C2BCBCCAF5C6F3D2B5D1D0BEBFBFAAB7A2D6D0D0C4C8CFB6A8BDE1B9FBB5C4CDA8D6AA2E646F63>

untitled

Microsoft Word - 部分习题参考答案.doc

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢?

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课


Microsoft PowerPoint - 06时序逻辑电路

KT-SOPCx开发套件简明教程

姓名

PowerPoint 演示文稿

迈入FPGA的开发入门

URISC 处理器设计一 URISC 处理器功能描述 URISC 处理器是只有一条指令的超级精简指令集计算机, 它是由 Mavaddat 和 Parham 提出的一种 RISC 结构 尽管 URISC 只有一条指令, 却也是一种通用计算机, 所有的复杂操作都可以由这条指令来完成 URISC 指令要完

数字电路仿真实现

PowerPoint 演示文稿

数字电子技术与微处理器基础

untitled

Vivado 设计流程手册 Vivado 设计流程指导手册 Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式, 一般简单设计中, 我们常用的是 Project Mode 在本手册中, 我们将以一个简单的实验案例, 一步一步的完成 Viva

untitled

第十四章 STC单片机比较器原理及实现

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

PowerPoint 演示文稿

第七章 中断

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

宁 波 联 合 天 健 标 准 无 诺 德 股 份 立 信 标 准 无 振 华 重 工 普 华 永 道 中 天 标 准 无 百 隆 东 方 201

Converting image (bmp/jpg) file into binary format

简单的组合逻辑设计

得 润 电 子 重 大 事 项, 特 停 三 垒 股 份 重 大 事 项, 特 停 天 源 迪 科 重 大 事 项, 特 停 全 通 教 育 临 时 停 牌 天 目 药 业 重 要 事 项 未 公 告, 连 续 停 牌 00215

川 仪 股 份 重 要 事 项 未 公 告, 连 续 停 牌 *ST 江 化 临 时 停 牌 珠 海 中 富 盘 中 停 牌 广 东 甘 化 重 大 事 项, 特 停 大 豪 科 技 拟 筹 划 重 大 资 产 重 组, 连 续

世 纪 游 轮 重 大 事 项, 特 停 全 信 股 份 临 时 停 牌 金 利 科 技 临 时 停 牌 凤 凰 光 学 重 要 事 项 未 公 告, 连 续 停 牌 安 源 煤 业 重 要 事 项 未 公 告, 连 续 停 牌

世 纪 游 轮 重 大 事 项, 特 停 全 信 股 份 临 时 停 牌 金 利 科 技 临 时 停 牌 凤 凰 光 学 重 要 事 项 未 公 告, 连 续 停 牌 安 源 煤 业 重 要 事 项 未 公 告, 连 续 停 牌

中 国 共 产 党 广 州 市 花 都 区 委 员 会 政 法 委 员 会 1 广 州 市 海 珠 区 卫 生 局 1 广 州 市 越 秀 区 文 化 广 电 新 闻 出 版 局 1 广 州 市 科 学 技 术 协 会 1 广 州 市 花 都 区 残 疾 人 联 合 会 1 广 州 市 花 都 区

目 录 前 言... 1 第 一 部 分 毕 业 生 就 业 创 业 基 本 情 况... 2 一 2015 届 毕 业 生 规 模 与 总 体 结 构... 2 二 2015 届 毕 业 生 初 次 就 业 率... 5 三 2015 届 毕 业 生 就 业 去 向 及 地 域 流 向... 7

4 付 凤 奇 深 圳 市 景 旺 电 子 股 份 有 限 公 司 电 子 技 术 助 理 工 程 师 5 袁 维 中 兴 通 讯 股 份 有 限 公 司 电 子 技 术 助 理 工 程 师 6 李 高 峰 深 圳 市 丰 日 科 技 有 限 公 司 电 子 技 术 助 理 工 程 师 7 叶 秋

Microsoft PowerPoint - vlsi_chapter02

2004年国家认定企业技术中心科技活动经费支出前100名

Az b.PDF

GJB128A.PDF

untitled

1 什么是Setup 和Holdup时间?

北 京 天 正 华 特 房 地 产 开 发 有 限 公 司 北 京 汇 能 出 现 科 技 有 限 公 司 北 京 焦 点 东 尚 企 业 策 划 有 限 公 司

可充抵保证金证券及其折算率.doc


FPGA 培训专家 FPGA 入门课程 4- 走马灯 第四节走马灯 今天的课程将引入开发板的使用, 本节课使用 ZX-2 开发板, 此开发板是至芯科技公司推出的低价位高性价比的初级开发板 本系列的视频教程也是围绕 ZX-2 开发板展开的 后续大家可以通过淘宝购买此开发板

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

6 徐 咏 深 圳 市 明 亚 科 技 有 限 公 司 电 子 技 术 助 理 7 谢 庆 辉 深 圳 市 奇 辉 电 力 建 设 工 程 有 限 公 司 电 子 技 术 助 理 8 李 威 深 圳 市 地 铁 三 号 线 投 资 有 限 公 司 通 信 技 术 助 理 9 姚 元 全 深 圳 市

银 湖 汽 车 总 - 北 斗 总 丰 泽 湖 山 庄 - 火 车 德 兴 花 园 总 - 火 车 西 广 场 德 兴 花 园 总 - 皇 岗 口 岸 东 湖 公 园 南 门 总 - 黄 埔 雅 苑 总 往 北 斗 总 往 银 湖 汽 车 总 往 火 车 方 往 丰 泽 湖 山

本科学生毕业论文

Microsoft Word - 目次範例-catalog doc

<4D F736F F D20B9E3B6ABB9A4B3CCD6B0D2B5BCBCCAF5D1A7D4BA C4EAB1CFD2B5C9FABECDD2B5D6CAC1BFB1A8B8E62D D31322D3239>

数字电子技术与微处理器基础

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63>


邏輯分析儀的概念與原理-展示版

幻灯片 1

Microsoft Word - MAN2011A_CH_RTT.doc

68369 (ppp quickstart guide)

CHCN_8-14_K.indd

STEP-MXO2 V2硬件手册

Transcription:

三 时序逻辑电路设计之计数器 实验目的 : 以计数器为例学会简单的时序逻辑电路设计 实验平台 : 芯航线 FPGA 核心板 实验原理 : 时序逻辑电路是指电路任何时刻的稳态输出不仅取决于当前的输入, 还与前一时刻输入形成的状态有关 这跟组合逻辑电路相反, 组合逻辑的输出只会跟目前的输入成一种函数关系 换句话说, 时序逻辑拥有储存元件 ( 内存 ) 来存储信息, 而组合逻辑则没有 计数器的核心元件是触发器, 基本功能是对脉冲进行计数, 其所能记忆脉冲最大的数目称为该计数器的模 / 值 计数器常用在分频 定时等处 计数器的种类很多, 按照计数方式的不同可以分为二进制计数器 十进制计数器以及任意进制计数器, 按照触发器的时钟脉冲信号来源可分为同步计数器与异步计数器 按照计数增减可分为加法计数器 减法计数器以及可逆计数器 此处设计一个计数器, 使其使能板载 LED 每 500ms, 状态翻转一次 核心板晶振为 50MHz, 也就是说时钟周期为 20ns, 这样可以计算得出 500ms = 500_000_000ns/20 = 25_000_000; 即需要计数器计数 25_000_000 次, 也就是需要一个至少 25 位的计数器 且每当计数次数达到需要清零并重新计数 Verilog HDL 之所以被称为硬件电路描述语言, 就是因为我们不是在类似 C 一样进行普通的编程, 而是在编写一个实际的硬件电路, 例如 02 中设计的一个二选一选择器最后就是被综合称为一个真正的选择器 上面提到计数器即为加法器 比较器 寄存器以及选择器构成, 如图 4-1 所示

A SEL 1'b1 OUT D Q ADDER 25'd24_999_999 B MUX2_1 CLR 25'd24_999_999 EQUAL CLK Rst_n 图 4-1 计数器逻辑电路图 实验内容 : 按照 02 章所讲, 建立工程子文件夹后, 新建一个以名为 counter 的工程保存在 prj 下, 并在本工程目录的 rtl 文件夹下新建 verilog file 文件在此文件下输入以下内容并以 counter.v 保存 这里之所以在计数值计数到 25 d24_999_999 而不是 25 d25_000_000 是因为计数器是从 0 开始计数而不是 1 这里每当计数器计数到预设的值后就让 led 取反一次 module counter(clk50m,rst_n,led); input Clk50M; // 系统时钟,50M input Rst_n; // 全局复位, 低电平复位 output reg led; //led 输出 reg [24:0]cnt; // 定义计数器寄存器 // 计数器计数进程 always@(posedge Clk50M or negedge Rst_n) if(rst_n == 1'b0) cnt <= 25'd0; else if(cnt == 25'd24_999_999) cnt <= 25'd0; else cnt <= cnt + 1'b1; //led 输出控制进程 always@(posedge Clk50M or negedge Rst_n) if(rst_n == 1'b0) led <= 1'b1; else if(cnt == 25'd24_999_999) led <= ~led; else led <= led; endmodule

进行分析和综合直至没有错误以及警告 为了测试仿真编写测试激励文件, 新建 counter_tb.v 文件并输入以下内容再次进行分析和综合直至没有错误以及警告, 保存到 testbench 文件夹下 这里生成了一个周期为 20ns 的时钟 clk, 并且例化了需要测试的 counter.v `timescale 1ns/1ns `define clock_period 20 module counter_tb; reg clk; reg rst_n; wire led; counter counter0(.clk50m(clk),.rst_n(rst_n),.led(led) ); initial clk = 1; always #(`clock_period/2) clk = ~clk; initial begin rst_n = 1'b0; #(`clock_period *200); rst_n = 1'b1; #2000000000; $stop; end endmodule 设置好仿真脚本后进行功能仿真, 可以看到如图 3-2 所示的波形文件, 可以看出高低电 平变化的时间均是 0.5s 也就是 500ms, 得出符合既定的设计要求, 至此功能仿真结束

图 3-2 功能仿真波形文件在进行上述的功能仿真时可以发现需要仿真时间较长, 这是由于将计数器的计数值太大, 因此可以将 counter.v 的 cnt 计数值修改为 24_999 来减少仿真时间, 这时会发现仿真时间大幅度缩短, 且图 3-3 中高低电平变化时间变为 500_000ns, 相比 500ms 缩短了 1000 倍, 也可以说明功能仿真正确 图 3-3 缩小计数值后的功能仿真波形 进行全编译后进行门级仿真, 可以看到如图 3-4 所示波形图, 在这可以看出由于门电路 的延迟高低电平变化时间并不严格等于 0.5s 图 3-4 时序仿真波形 现进行分配引脚, 此处介绍另一种分配引脚的方式, 采用 tcl 文件 首先在 File New 中选中 Tcl Script File, 新建一个 tcl 文件 并输入以下内容后以 PIN.tcl 名称保存到 prj 文件

夹下 此处由于不同批次可能会引脚分配略有不同, 请根据对应的引脚表来编写 图 3-5 新建 tcl 文件 set_location_assignment PIN_E1 -to Clk50M set_location_assignment PIN_M1 -to Rst_n set_location_assignment PIN_P11 -to led 然后单击 Tools--Tcl Script, 弹出图 3-7 对话框后选中编写好的 PIN.tcl 文件, 点击 Open Files 编写的内容就会出现在下面的框图中, 此时再点击 Run 会弹出图 3-8 对话框, 提示已 经运行完毕 我们这时可以打开 Pin planer 查看分配好的引脚 图 3-7 设置 Tcl 脚本

图 3-8 运行 Tcl 脚本 图 3-9 脚本运行成功全编译后可以在 RTL viewer 中可以看到图 3-10 所示的硬件逻辑电路, 也存在前面讲到的加法器 比较器 寄存器以及选择器构成的计数器 下载到开发板中可以看到图 3-11 现象,LED0 以 500ms 的时间进行闪烁, 如果有示波器也可以测量这时候的引脚波形进行观察 图 3-10 RTL viewer 图 3-11 实验现象 至此, 就完成了一个基本的时序逻辑, 计数器的设计 请以此为基础自行设计使得每个 灯以不同的频率闪烁, 并进行仿真以及板级验证 如有更多问题, 欢迎加入芯航线 FPGA 技术支持群交流学习 :472607506 小梅哥