5.8 GHz 具溫度補償功能之寬頻砷化鎵 5G 行動通訊用射頻功率放大器 A 5.8 GHz Temperature-Compensated Broadband GaAs RF Power Amplifier for 5G Mobile Communication Systems 林高慶 Gao-

Size: px
Start display at page:

Download "5.8 GHz 具溫度補償功能之寬頻砷化鎵 5G 行動通訊用射頻功率放大器 A 5.8 GHz Temperature-Compensated Broadband GaAs RF Power Amplifier for 5G Mobile Communication Systems 林高慶 Gao-"

Transcription

1 5.8 GHz 具溫度補償功能之寬頻砷化鎵 5G 行動通訊用射頻功率放大器 A 5.8 GHz Temperature-Compensated Broadband GaAs RF Power Amplifier for 5G Mobile Communication Systems 林高慶 Gao-Ching Lin 中文摘要 本論文提出一個應用於第五代行動通訊系統之寬頻高線性度三級功率放大器 此功率放大器使用磷化銦鎵 / 砷化鎵異質介面雙極電晶體製程製作並以 3mm 3mm QFN 封裝 其偏壓電路擁有溫度補償架構能操作在 -15 到 60, 使得功率放大器不容易受到溫度變化的影響 為了避免影響功率放大器的性能, 功率檢測器被放置於第三級功率級電晶體輸入端, 且有較少電流損耗而可減少效率的下降 使用 5V 供應電壓所量測到的功率增益和 1dB 增益壓縮點輸出功率分別為 28dB 和 30.5dBm 在頻率範圍 GHz 與溫度 25 使用頻率 20MHz 的 64QAM 正交頻分複用技術訊號下, 功率放大器之誤差向量幅度接近 3%, 所量測到的輸出功率為 dBm, 且在 20dBm 輸出功率由功率檢測器量測到的電壓為 0.595V 在頻率 5.9GHz 和溫度改變 -15 到 60 下, 同誤差向量幅度可維持輸出功率的誤差範圍在 1.5dB 內, 而同偵測電壓能維持輸出功率的誤差範圍在 1dB 內 Abstract This paper presents a broadband high-linearity three-stage power amplifier for 5G mobile communication systems. The power amplifier is fabricated using InGaP/GaAs HBT technology and packaged in a 3mm 3mm QFN. The bias circuits have temperature compensation within the operating temperature range from -15 to 60, hence the power amplifier is not susceptible to temperature change. The power detector is placed at input terminal of the third power stage transistor in order to avoid affecting the performance of the power amplifier and has less current consumption to get less efficiency degradation. The measured power gain and P 1dB are respectively 28dB and 30.5dBm at 5V supply. With a 20MHz 64QAM Orthogonal Frequency Division Multiplexing(OFDM) signal at the frequency of GHz and temperature of 25, the power amplifier achieves 3% EVM at dBm output power and the output voltage of the power detector is 0.595V at 20dBm output power. When the temperature changes from -15 to 60 at the frequency of 5.9GHz, the tolerance of the output power is less than 1.5dB with the same EVM and the tolerance of the output power is less than 1dB for the same detector voltage. 關鍵詞 (Key Words) 功率放大器 (Power Amplifier) 異質介面雙極電晶體 (Heterojunction Bipolar Transistor ; HBT) 溫度補償 (Temperature Compensation) 功率檢測器 (Power Detector) 誤差向量幅度 (Error Vector Magnitude ; EVM) 55

2 1. 前言 由於智慧型手持式裝置的普及, 帶動了行動世代的崛起, 同時也更加速通訊技術的革新, 資料傳輸率的增加讓使用者能夠享受到高速行動網路新體驗, 因此上網的人口數與裝置數量急劇攀升 面對無線資料傳輸的龐大需求, 也使得電信業者 / 營運商過去的基地台佈建策略必須有所調整, 如此爆發式的數據成長及多樣化的無線連接性要求已不是目前 3G/4G 所能夠滿足, 雖然 4G 才剛日漸普及, 但卻不得不開始催生 5G 的研發 5G 算是一個全新的系統, 很多功能都是目前 4G 所不支援的, 雖然仍有一些相似的地方, 比如同樣會採用正交頻分複用技術的波形, 但大多數是截然不同的, 不再只是透過大型基地台的佈建, 而是使用小型基地台 (Small Cell) 並利用不同頻段, 讓所有使用者都能獲得足夠的頻寬, 再與大型基地台形成良好的協同運作, 以達到收訊無死角及高密集度接取的能力 在未來 5G 時代, 已不再只是人與人之間的聯繫, 除了個人的智慧型手持式裝置之外, 同時連結數十億台機器, 進而達成物聯網 (Internet of Things, IoT) 與雲端網路 (Cloud Networking) 的系統應用, 或是汽車自動駕駛等人機交互產生的混合通信都相當依賴 5G 系統所帶來的能量, 因此 5G 技術須具備較快的資料傳輸速率及較寬的頻寬容量 較低的傳輸延遲 (Latency) 較高的無線連接密度等極具挑戰性的需求 資料傳輸速率將從 Mbps 躍升為 Gbps, 短距離傳輸速率甚至可達到 10-20Gbps, 是目前 4G 的 100 倍以上 而傳輸延遲須小於 1ms, 以汽車駕駛為例, 目前的傳輸延遲是 100ms, 考慮到現在的汽車是有人駕駛, 現今 4G 尚可滿足傳輸安全信息的要求, 但若是幾年後的汽車自動駕駛, 100ms 的傳輸延遲是不夠的, 很可能會造成交通事故, 因此較低的傳輸延遲實現相當重要 高密度小型基地台的佈建提升無線連接密度, 相對於現有的 4G 密度將可提高 100 倍, 為了達到高密度無線連接, 採用了大規模多重輸入多重輸出 (Massive MIMO) 技術, 在盡可能降低干擾的同時亦大幅提高流量密度, 該技術利用數十到數百個天線來完成, 所以對抗因各種數量 多又靠近而產生出的干擾, 變成了一個困難且重要的課題 不僅如此, 每一根 MIMO 天線都要具備波束成形 (Beamforming) 的技術, 一旦裝置與基地台的連線建立後, 即使裝置開始移動, 這樣的連線機制和波束成形的功能都不能因此中斷或失效 雖然目前 5G 相關技術全球各地研究單位較看好的是毫米波頻段, 即 6-60GHz 的頻段, 但對於這一頻段的瞭解有限, 也較不成熟, 所以需要些許時間探索以掌握其特性 另一個被探討的 5G 頻段為 6GHz 以下的頻段 (Sub-6G), 相對於毫米波頻段是較為成熟的, 無論是 WiFi 和 LTE, 或者是中國非常重視的 3.5GHz 頻段, 都是能快速被發展起來的 由於低頻頻段有很好的覆蓋率, 對於物聯網的應用十分重要, 及高通 (Qualcomm) 宣布推出的 5G NR(New Radio) 原型系統和測試平台也都應用在 6GHz 以下的頻段, 故 6GHz 以下的頻段也是 5G 不可缺少的一環 LTE-U(Unlicensed LTE) 是利用未授權頻譜的 LTE 技術, 也是 5G 可能會大量使用到的頻段, 其頻率範圍在 5-6GHz 我們針對 GHz 頻段進行功率放大器 [1][2] 的開發, 不僅是整體三級功率放大器的架構分析, 亦包括具溫度補償之偏壓電路及功率檢測器的原理與設計, 都將於本文有深入的討論 2. 功率放大器設計 2.1 電路設計圖 1 為所提出之三級功率放大器架構圖, 主要由增益級 驅動級 功率級電晶體組成, 並分別透過具有溫度補償功能之偏壓 (Bias) 電路供給電壓, 其中功率級是兩組電晶體經由合併的方式設計, 雖然較佔用佈局 (Layout) 的面積, 但卻可以提高功率放大器之增益及輸出功率 所使用的製程以磷化銦鎵 / 砷化鎵異質介面雙極電晶體製作, 各級電晶體由長為 40µm 和寬為 3µm 的單一元件 Q 1 所堆疊而成, 增益級電晶體為 Q 6, 驅動級電晶體為 Q 12, 功率級電晶體則為兩組 Q 24 各級阻抗匹配電路皆為二階寬頻電路設計, 利用史密斯圖 (Smith Chart) 找出最佳的電容值和電感值, 且使用電磁波法 (Electromagnetic Method) 縮小模擬與量測的差 56 ICT Journal No.168

3 距以提升模擬的準確度, 並藉由輸入匹配電路與輸出匹配電路將功率放大器輸入端與輸出端都匹配到 50 歐姆 功率檢測器設計於功率級電晶體的輸入端, 雖然耦合到的功率較小會使偵測到的輸出電壓變小, 但較不會影響到功率放大器輸出端的性能 電阻, 是用來防止電流因溫度上升而急驟變大, 當電晶體較大時, 選擇的 R B 也會跟著增大 功率放大器各級偏壓電路的 V REF 皆連接在一起, 並提供 2.85V 的電壓 圖 1 三級功率放大器架構圖 2.2 偏壓電路設計圖 2 為具有溫度補償之偏壓電路 [3], 以射極隨耦器 (Emitter Follower) 為核心並結合威爾森 (Wilson) 電流鏡, 再利用 Q 8 的回授 (Feedback) 來達到溫度補償 圖 2 具有溫度補償功能之偏壓電路圖對所設計功率放大器的線性度以 β 輔助 (β Helper) 之電流鏡 [4] 較佳, 故所提出之偏壓電路以 β 輔助電路取代射極隨耦器, 再加上具有溫度補償的回授電晶體, 如圖 3 所示 圖中 β 輔助電路是由 Q 1 Q 2 R 1 C B 所組成, 其中 C B 為旁路 (Bypass) 電容,Q 2 與 Q RF 形成一組電流鏡, 改變 Q 2 的尺寸或 R 1 的電阻值可以影響流過 Q RF 的電流, 以決定各級電晶體所需電流 此外, 藉由調整適當 Q 1 及 Q 2 的尺寸與比例能夠改善功率放大器的線性度, 依各級電晶體的不同, 所選用的 Q 1 及 Q 2 也會有所不同 R B 為鎮流 (Ballast) 圖 3 所提出之偏壓電路圖 圖 3 紅色虛線部分為溫度補償電路, 由於 β 輔助電路不像射極隨耦器有 B 點這個固定電壓 點, 所以加入 Q 3 和 Q 4 使 β 輔助電路也能有固定 電壓點, 且二極體形式的電晶體 (Diode-connected Transistor) Q 3 和 Q 4 本身就有 抑制溫度變化的能力 當溫度上升時, 流經 Q RF 的電流隨之上升和 A 點電壓也一同增加, 使得 Q 5 的 V BE 變大導致流過 R 2 的電流亦增加, 利用 B 點為一固定電壓, 故流過 Q 3 與 R 1 的電流也隨之 增加, 使 C 點電壓因而減少, 則流經 Q 1 及 Q RF 之 電流就會變小, 進而達成溫度補償的目標 2.3 功率檢測器設計 一般功率檢測器都會設計在功率級電晶體 的輸出端位置, 可以耦合到較大的能量, 但卻可能會影響到功率放大器的性能, 造成輸出端的負擔 為了避免這個問題, 便將功率檢測器的位置設計在功率級電晶體的輸入端 功率檢測器都會用到二極體, 慎選適當的二極體是相當重要的, 所使用的製程提供了 BC(Base/Collector Junction) 與 SD(Schottky Diode) 兩種二極體, 圖 4 為其電流 - 電壓特性曲線 (I-V Characteristics Curve) 模擬圖, 可觀察到 SD 二極體的導通電壓明顯較 BC 二極體低, 且隨著電流增加,SD 二極體的電壓變化也較小, 這樣的結果使得 SD 二極體較符合功率檢測器的需求 57

4 3.QFN 封裝 圖 6 為所提出三級功率放大器之佈局圖, 其晶片面積大小是 1550µm 1202µm, 經由圖 7 的 3mm 3mm 16 腳位 QFN 封裝並鑲於開發板 (Evaluation Board) 上如圖 8 圖 8 板上輸入端和輸出端皆已銲接上 50 歐姆的 SMA(SubMiniature Version A) 轉接頭, 為了防止低頻震盪, 在各級 V CC 及 V REF 都有分別放置 100nF 與 2.2µF 的旁路電容 圖 4 BC 與 SD 二極體之 I-V 特性曲線模擬圖 圖 6 所提出功率放大器之佈局圖 圖 5 功率檢測器電路圖圖 5 為功率檢測器 [5] 的電路圖,C B 為旁路電容,R 1 與 R 2 透過 5V 的 V CC 分壓出一直流電壓, 再利用偵測電晶體 Q 1 從功率級電晶體輸入端偵測到的小訊號電壓波經過二極體 D 2 整流後, 可以於輸出端獲得偵測電壓 V DET D 1 的功能是要補償 D 2 受到溫度影響的變化, 所以此功率檢測器也同樣具有溫度補償功能, 由於偵測電晶體的偏壓操作在極低的電壓, 因此耗電也非常低, 能使整體的效率提升 此外,C 1 為濾波電容, 調整適當的電容值能夠減少整流後直流電的脈動使其較平滑, 而 R 1 為輸出負載, 選用 27kΩ 的電阻值 圖 7 3mm x 3mm QFN 封裝腳位圖 58 ICT Journal No.168

5 圖 8 QFN 封裝於開發板上 圖 10 掃描功率在頻率 5.9GHz 之量測圖 4. 量測結果 圖 9 為功率放大器之 S 參數量測圖, 在頻率為 5.5GHz 時,S11 S22 S21 分別為 -11.1dB -11.1dB 28.4dB; 在頻率為 5.9GHz 時,S11 S22 S21 分別為 -7.7dB -26.1dB 28dB 圖中可看出頻率 GHz 的增益約為 28dB, S22 皆小於 -10dB, S11 頻寬較小, 只有靠近頻率 5.5GHz 的部分小於 -10dB 圖 10 為掃描功率 (Power Sweep) 在頻率 5.9GHz 之量測圖, 可觀察到 1dB 增益壓縮點的輸出功率和功率增益分別為 30.5dBm 和 28dB, 其效率 (Power-Added Efficiency ; PAE) 為 29.6% 電流為 738mA 圖 11 為功率放大器於頻率 5.5GHz 與溫度 25 下, 使用頻寬 20MHz 的 64QAM 正交頻分複用技術訊號之量測圖 扣除為了讓輸入訊號可能過大造成非線性而使用的 10dB 衰減器, 可得知在誤差向量幅度為 3% 時的輸出功率為 22.1dBm 同樣的量測於 5.9GHz, 其誤差向量幅度為 3% 時的輸出功率為 23dBm 由於各級匹配電路都有寬頻架構的設計, 故在頻率範圍 GHz 及誤差向量幅度為 3% 時, 其輸出功率可以維持在 dBm 的區間內 圖 11 頻率 5.5GHz 之 EVM 量測圖 圖 9 S 參數量測圖 圖 皆為功率放大器在頻率 5.9GHz 與溫度 下, 使用頻寬 20MHz 的 64QAM 正交頻分複用技術訊號之溫度比較量測圖 圖 12 中同樣誤差向量幅度為 3% 時, 溫度 的輸出功率分別為 24dBm 23dBm 22.5dBm 常溫和高溫的部分誤差向量幅度相當接近, 低溫的部分輸出功率小時較差, 但輸出功率大時有遲緩上升的現 59

6 象, 使得反而比常溫和高溫佳, 相同誤差向量 幅度可以維持輸出功率在 1.5dB 的誤差範圍 內, 因此具有溫度補償功能之偏壓電路明顯有 發揮作用 圖 13 為不同溫度的電流比較圖, 同 樣誤差向量幅度為 3% 時, 溫度 的電流分別為 253mA 258mA 263mA, 可觀察到相同誤差向量幅度所對應到的動態電 流差異不會太大 圖 14 是功率檢測器於頻率 5.9GHz 不同溫度下所量測到的偵測電壓圖, 在輸出功率為 20dBm 時, 溫度 的偵測電壓分別為 0.6V 0.595V 0.62V, 常 溫與低溫非常接近, 幾乎完全貼合在一起, 而 高溫稍微偏差了一些, 不過整體上同偵測電壓 能夠維持輸出功率在 1dB 的誤差範圍內 圖 12 圖 13 在頻率 5.9GHz 溫度 之 EVM 量測圖 在頻率 5.9GHz 溫度 之電流量測圖 圖 結論 在頻率 5.9GHz 溫度 之檢測電壓量測圖 本篇文章提出一個應用於第五代行動通訊系統之寬頻高線性度且具有溫度補償功能及功率檢測器的三級功率放大器 該功率放大器使用磷化銦鎵 / 砷化鎵異質介面雙極電晶體製程製作並以 3mm 3mm QFN 封裝於使用 50 歐姆 SMA 轉接頭的開發板上 其偏壓電路擁有溫度補償架構能操作在 -15 到 60, 使功率放大器受到溫度變化的影響仍可維持住良好的線性度與操作電流, 且可觀察到相同誤差向量幅度所對應到的動態電流差異不會太大 功率檢測器由於有二極體的補償也同樣具有對抗溫度變化的能力, 為了避免影響功率放大器的性能放置於第三級功率級電晶體輸入端, 且因為偵測電晶體的偏壓操作在較低的電壓, 所以電流的損耗較少而可減少效率的下降 使用 5V 供應電壓所量測到的功率增益和 1dB 增益壓縮點輸出功率分別為 28dB 和 30.5dBm 在頻率範圍 GHz 與溫度 25, 使用頻寬 20MHz 的 64QAM 正交頻分複用技術訊號下, 功率放大器之誤差向量幅度接近 3%, 所量測到的輸出功率為 dBm, 且在 20dBm 輸出功率由功率檢測器量測到的電壓為 0.595V 在頻率 5.9GHz 和溫度改變 -15 到 60 下, 同誤差向量幅度可維持輸出功率的誤差範圍在 1.5dB 內, 而同偵測電壓能維持輸出功率的誤差範圍在 1dB 內 表 1 為所提出功率放大器與國際既有技術的比較, 由文獻 [6] 可明顯發現 CMOS 與 HBT 在製程上本身差異極大, 不論在線性功率或是電流的損耗都 60 ICT Journal No.168

7 是, 而 CMOS 的供應電壓較低也是另一個原因 文獻 [7] 為國際大廠 RFMD 所開發的功率放大器, 性能差距沒有很大的不同, 但他們的頻率範圍較寬, 是比較有優勢的 表 1 與國際既有技術之功率放大器比較 Detector and VSWR Protection for TD-SCDMA Application, Proceedings of the International Conference, Mixed Design of Integrated Circuits and System, pp , June [6] Jeng-Han Tsai and Hong-Wun Ou-Yang, A GHz Fully-integrated CMOS PA for WLAN Applications IEEE Radio and Wireless Symposium, pp , Jan [7] The RFMD website. [Online]. Available: // 7928/rf5616_data_sheet.pdf/ 參考文獻 [1] Wei-Tsung Li, Shih-Ming Wang, and Gao-Ching Lin, A WLAN RF CMOS Power Amplifier with Power Detector, High Harmonic Suppression, and Temperature Compensation, in European Microwave Conference, pp , Sept [2] Gao-Ching Lin, Yu-Cheng Hsu, Shih-Ming Wang, Wei-Tsung Li, and Cheng-Chung Chen, A Linear 5.9 GHz Power Amplifier for IEEE p Applications, International Workshop on Electromagnetics: Applications and Student Innovation Competition, pp. 1-2, Nov [3] Wei-Ling Chang, Chinchun Meng, Shyh-Chyi Wong, Hwey Chien, and Guo-Wei Huang, Temperature Insensitive PA Bias Circuit with Digital Control Interface Using InGaP/GaAs HBT Technology, in European Microwave Conference, pp , Sept [4] M. Sagebiel, S. Gerlach, A. Kruck, and V. Subramanian, An EVM-optimized Power Amplifier for 2.4-GHz WLAN Application, IEEE Compound Semiconductor Integrated Circuit Symposium, pp , Nov [5] Q. Z. Hu, Z. H. Liu, L. Yan, and W. Zhou, A SiGe Power Amplifier With Power 作者簡介 林高慶 現任職於工研院資通所無線新應用射頻技術部工程師, 畢業於國立彰化師範大學積體電路設計研究所碩士班 專長為射頻電路設計 gaochinglin@itri.org.tw 61

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94 NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz 23 90 CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94/188GHz LC class-b 0.70 0.75 mm 2 pad 1 V 19.6 ma (ƒ

More information

D4

D4 020 0.18-m SiGe BiCMOS 5-GHz 5/60 GHz 0.18-m SiGe BiCMOS 5/60 GHz Dual- Conversion Receiver Using a Shared Switchable 5-GHz Double Balance Gilbert Mixer 1 1 1 2 1 2 0.18-m SiGe BiCMOS 5-GHz / (5/60 GHz)

More information

<4D6963726F736F667420576F7264202D203237B4C12DA2D1A6DBB54DACECBEC7BB50ACECA7DEC3FE2E646F63>

<4D6963726F736F667420576F7264202D203237B4C12DA2D1A6DBB54DACECBEC7BB50ACECA7DEC3FE2E646F63> 高 雄 師 大 學 報 2009, 27, 9-16 採 用 兩 級 共 源 極 串 接 之 WiMAX 功 率 放 大 器 設 計 吳 建 銘 1 許 琮 富 2 摘 要 本 論 文 採 用 砷 化 鎵 (GaAs) 擬 態 高 電 子 移 動 率 電 晶 體 (PHEMT) 研 製 應 用 於 全 球 互 通 微 波 存 取 (WiMAX) 系 統 之 2.6 GHz 功 率 放 大 器 混 成

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 公 开 转 让 说 明 书 不 存 在 虚 假 记 载 误 导 性 陈 述 和 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和

声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 公 开 转 让 说 明 书 不 存 在 虚 假 记 载 误 导 性 陈 述 和 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和 中 电 科 微 波 通 信 ( 上 海 ) 股 份 有 限 公 司 公 开 转 让 说 明 书 主 办 券 商 二 零 一 六 年 三 月 声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 公 开 转 让 说 明 书 不 存 在 虚 假 记 载 误 导 性 陈 述 和 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法

More information

y 1 = 槡 P 1 1h T 1 1f 1 s 1 + 槡 P 1 2g T 1 2 interference 2f 2 s y 2 = 槡 P 2 2h T 2 2f 2 s 2 + 槡 P 2 1g T 2 1 interference 1f 1 s + n n

y 1 = 槡 P 1 1h T 1 1f 1 s 1 + 槡 P 1 2g T 1 2 interference 2f 2 s y 2 = 槡 P 2 2h T 2 2f 2 s 2 + 槡 P 2 1g T 2 1 interference 1f 1 s + n n 37 1 Vol 37 No 1 2013 1 Journal of Jiangxi Normal UniversityNatural Science Jan 2013 1000-5862201301-0037-05 MISO 郭荣新, 袁继昌 361021 2 RVQ 2 MISO 3 TN 911 7 A 0 MIMO 2 MISO 3 MIMOnetwork MIMO 3GPP LTE-A 2

More information

IEEE International Symposium on Radio-Frequency Integration Technology CMOS Korea Advanced Institute of Science and Technology, KAIST Neural

IEEE International Symposium on Radio-Frequency Integration Technology CMOS Korea Advanced Institute of Science and Technology, KAIST Neural NO.32 Jan. 2019 2018 2018 IEEE International Symposium on Radio-Frequency Integration Technology 2018 2018 IEEE International Symposium on Radio-Frequency Integration Technology 8/15 ~ 8/17 Melbourne,

More information

scope_d2d2.pdf

scope_d2d2.pdf LAN 2 1. 5G 1. 5G 2. 1000 3. 4. mmwave 2. Bluetooth 1. Bluetooth 2. Bluetooth 3. 4. 3. MTC 1. MTC 2. MTC 3. MTC 4. MTC 5. MTC 1.1 5G 4G 5G [1] 1) a. 4G 1000 b. 5% 100Mbps 4G 100 a. 10Gbps 1.1 5G 2) 4G

More information

·sª¾125´Á

·sª¾125´Á 5 GHz U-NII (bit error rate, BER) (error vector magnitude, EVM) (adjacent channel power (RF/microwave) ratio, ACPR) EVM ( ) (gain compression) (LO feedthrough) IQ (gain imbalance) (carrier frequency offset)

More information

Test Report tamio R3 Netis WF2409E Model TP-LINK TL-WR841HP ASUS RT-N12+ B1 LB-LINK BL-WR2000 v1.0.4 v Firmware v v2.0.8 v1.0.6 Date 20

Test Report tamio R3 Netis WF2409E Model TP-LINK TL-WR841HP ASUS RT-N12+ B1 LB-LINK BL-WR2000 v1.0.4 v Firmware v v2.0.8 v1.0.6 Date 20 Test Report tamio R3 Netis WF2409E Model TP-LINK TL-WR841HP ASUS RT-N12+ B1 LB-LINK BL-WR2000 v1.0.4 v2.3.38774 Firmware v3.16.9 v2.0.8 v1.0.6 Date 20171013 Prepared By Key tamio R3: Netis WF2409E: TP-LINK

More information

2019 Chinese Taipei National High School Athletic Game Boxing Championship Junior Men Division Top 8 As of WED 24 APR 2019 Men s Mosquito(38-41Kg) Ran

2019 Chinese Taipei National High School Athletic Game Boxing Championship Junior Men Division Top 8 As of WED 24 APR 2019 Men s Mosquito(38-41Kg) Ran Junior Men Division Men s Mosquito(38-41Kg) 1 CHANG, CHI-EN TPE 2 HUANG, YU-CHEN TPE 3 YANG, MIN-SHUN TPE 3 CHIU, CHENG TPE 5 WU, CHIA-TING TPE 5 LIN, KUAN-YI TPE 7 TSAI, MING-FENG TPE 7 CHOU, MING-HSIEN

More information

PowerPoint 簡報

PowerPoint 簡報 http://www.giga-solution.com 97 10 2 2 3 3 89 3 4 9.67 298 ( 97.9.30 ) 6 7 6 5-7 3,149 RFIC( IC) SiP( ) SoC( ) (WLAN) (TV Tuner) 4 4 89 3 90 3 93 4 94 8 95 12 96 4 5 8 2007 Fast 50 (Technology Fast 50

More information

Wire Wound Ceramic Chip Inductor 繞線式陶瓷晶片大电流電感 HPWS Series for High Frequency HPWS 系列適用於高頻 INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire w

Wire Wound Ceramic Chip Inductor 繞線式陶瓷晶片大电流電感 HPWS Series for High Frequency HPWS 系列適用於高頻 INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire w INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire wound type widely used in the communication applications, such as cellular phones, television tuners, radios, and other electronic devices. The

More information

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor O2005: Electronics The Bipolar Junction Transistor (BJT) 張大中 中央大學通訊工程系 dcchang@ce.ncu.edu.tw 中央大學通訊系張大中 Electronics, Neamen 3th Ed. 1 Bipolar Transistor Structures N P 17 10 N D 19 10 N D 15 10 中央大學通訊系張大中

More information

ch05

ch05 物理系光電組 二年級電 子學 單元七 BJT 電晶體 授課 老師 : 輔仁 大學物理系副教授張敏娟 2015 spring 1 物理系光電組 二年級電 子學 單元七 BJT 電晶體 BJT 電晶體 基本原理 1 BJT 電晶體把 N 型和 P 型半導體, 再組合, 變成電晶體 BJT 電晶體 是一個把訊號放大的元件 3 BJT 電晶體 三端元件 4 BJT 有兩種組成 NPN 型 PNP 型 5 N

More information

出國報告電子檔規格

出國報告電子檔規格 會 議 報 告 ( 會 議 類 別 : 其 他 ) 3GPP RAN #69 Meeting 會 議 報 告 出 席 人 員 : 陳 瓊 璋 / 陳 弘 仁 派 赴 地 區 : 美 國 / 鳳 凰 城 會 議 期 間 :104 年 9 月 14 日 至 9 月 18 日 報 告 日 期 :104 年 10 月 15 日 1 摘 要 本 次 3GPP RAN #69 會 議 於 9 月 在 美 國 的

More information

Microsoft Word - T集团发行股份购买资产法律意见书 公告版 0711 psq.docx

Microsoft Word - T集团发行股份购买资产法律意见书 公告版 0711 psq.docx TCL 158 F408 TCL... 1... 7... 7 ;... 12... 30 ;... 31... 32... 73... 74... 77... 80... 80 ; TCL... 81... 84 TCL TCL ) TCL 000100 ) ) 1 TCL ) 10.0409% 1,841,699,000 ; TCL ) 2017 3 31 // 15 15 15 2015 2016

More information

Q expert-完成出卷

Q expert-完成出卷 1. ( ) 下列敘述何者錯誤? 104-1 高二電子學 H5 電晶體直流偏壓電路 (A) 電晶體的工作點又稱為 Q 點 () 更換不同 β 值的電晶體, 會影響電路的工作點 () 電晶 體欲用在線性放大電路時, 須工作於飽和區 (D) 線性放大器常用來做小信號放大 2. ( A ) 電晶體當放大器使用時, 須工作於 (A) 作用區 () 飽和區 () 截止區 (D) 以上皆非 3. ( ) 電晶體當放大器使用時,

More information

Microsoft Word - 39.doc

Microsoft Word - 39.doc 摘 基 于 ARM 的 嵌 入 式 无 线 AP 的 设 计 杨 健 陈 永 泰 ( 武 汉 理 工 大 学 信 息 工 程 学 院, 武 汉 430070) 要 : 本 文 首 先 介 绍 了 无 线 AP 的 基 本 原 理, 然 后 重 点 描 述 基 于 AT76C510 的 无 线 AP 的 硬 件 设 计 及 嵌 入 式 系 统 uclinux 最 后 对 IEEE802.11b 的 安

More information

致 谢 开 始 这 篇 致 谢 的 时 候, 以 为 这 是 最 轻 松 最 愉 快 的 部 分, 而 此 时 心 头 却 充 满 了 沉 甸 甸 的 回 忆 和 感 恩, 一 时 间 竟 无 从 下 笔 虽 然 这 远 不 是 一 篇 完 美 的 论 文, 但 完 成 这 篇 论 文 要 感 谢

致 谢 开 始 这 篇 致 谢 的 时 候, 以 为 这 是 最 轻 松 最 愉 快 的 部 分, 而 此 时 心 头 却 充 满 了 沉 甸 甸 的 回 忆 和 感 恩, 一 时 间 竟 无 从 下 笔 虽 然 这 远 不 是 一 篇 完 美 的 论 文, 但 完 成 这 篇 论 文 要 感 谢 中 国 科 学 技 术 大 学 博 士 学 位 论 文 论 文 课 题 : 一 个 新 型 简 易 电 子 直 线 加 速 器 的 关 键 技 术 研 究 学 生 姓 名 : 导 师 姓 名 : 单 位 名 称 : 专 业 名 称 : 研 究 方 向 : 完 成 时 间 : 谢 家 麟 院 士 王 相 綦 教 授 国 家 同 步 辐 射 实 验 室 核 技 术 及 应 用 加 速 器 物 理 2006

More information

<4D6963726F736F667420576F7264202D2032A1A2CAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE92E646F63>

<4D6963726F736F667420576F7264202D2032A1A2CAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE92E646F63> 广 州 杰 赛 科 技 股 份 有 限 公 司 GCI SCIENCE & TECHNOLOGY CO.,LTD. ( 注 册 地 址 : 广 州 市 新 港 中 路 381 号 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 保 荐 人 ( 主 承 销 商 ) ( 注 册 地 址 : 深 圳 市 红 岭 中 路 1012 号 国 信 证 券 大 厦 16-26 层 ) 本 次 发 行 概

More information

電晶體放大電路

電晶體放大電路 電晶體偏壓電路及共射極放大電路 一 實習目的 () 了解電晶體偏壓電路和工作點與穩定度等觀念 (2) 熟悉電晶體各種偏壓的電路 (3) 了解共射極電晶體基本放大電路 (4) 能量測共射極電晶體基本放大電路的交流參數 二 使用材料 零件名稱 零件值 數量 KΩ 2 2 KΩ 2.2 KΩ 3.3 KΩ 4.7 KΩ 電阻 0 KΩ 2 5 KΩ 22 KΩ 47 KΩ 68 KΩ 00 KΩ 2 可變電阻

More information

99年度提案審查

99年度提案審查 1/21 2 97 98 99 00 01 02 03 04 05 06 07 3 年度 年 類 例 年 行 量 年 行 量 4 Source NCC 5 行 WAP, GPRS, PHS, 3G (Source: NCC) 6 Source: Allot Mobile Trends 7 ARPU from $48 to $24 by 2011 HSPA Modules from $70 to $35

More information

PCA+LDA 14 1 PEN mL mL mL 16 DJX-AB DJ X AB DJ2 -YS % PEN

PCA+LDA 14 1 PEN mL mL mL 16 DJX-AB DJ X AB DJ2 -YS % PEN 21 11 2011 11 COMPUTER TECHNOLOGY AND DEVELOPMENT Vol. 21 No. 11 Nov. 2011 510006 PEN3 5 PCA + PCA+LDA 5 5 100% TP301 A 1673-629X 2011 11-0177-05 Application of Electronic Nose in Discrimination of Different

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

Microsoft Word - JACS_SI-3 R2 _NMR spectra-II_.docx

Microsoft Word - JACS_SI-3 R2 _NMR spectra-II_.docx Supporting Information III Efficient Convergent Synthesis of Bi-, Tri-, and Tetraantennary Complex Type N-Glycans and Their HIV-1 Antigenicity Sachin S Shivatare,,, Shih-Huang Chang,, Tsung-I Tsai, Chien-Tai

More information

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in 5 28-3D IC Low-Cost and TSV-free Monolithic 3D-IC with Heterogeneous Integration of Logic, Memory and Sensor Analogy Circuitry for Internet of Things 綉 3D IC (MOSFET) 40 50% 3D IC 3D IC IO(ultra-wide-IO)

More information

降 雨 衰 耗 冗 余 回 传 性 能 必 须 易 于 预 测 和 可 靠 地 保 证 低 成 本 大 多 数 点 对 点 微 波 频 谱 有 效 发 牌 政 策 是 针 对 链 路 的 牌 照, 然 而, 对 于 小 站 网 络 部 署 来 说, 简 便 及 许 可 证 费 用 非 常 重 要,

降 雨 衰 耗 冗 余 回 传 性 能 必 须 易 于 预 测 和 可 靠 地 保 证 低 成 本 大 多 数 点 对 点 微 波 频 谱 有 效 发 牌 政 策 是 针 对 链 路 的 牌 照, 然 而, 对 于 小 站 网 络 部 署 来 说, 简 便 及 许 可 证 费 用 非 常 重 要, 小 站 部 署 中 非 视 距 微 波 回 传 探 讨 摘 要 关 键 词 点 对 点 微 波 是 灵 活 快 速 部 署 回 传 网 到 几 乎 任 一 点 经 济 有 效 的 技 术 它 是 移 动 网 络 中 主 要 的 回 传 方 式, 同 时 在 移 动 宽 带 演 进 过 程 中 具 有 同 样 的 地 位 微 波 技 术 发 展 迅 猛, 现 已 能 够 支 持 多 个 吉 比 特 的

More information

ated Current( 耐電流 ): 1-3A( 安培 ) EQUIVALENT CICUIT DIAGAM 等效電路圖 Electrical Characteristics 電氣特性 Impedance 阻抗 DC esistance ated Current Part Number Ω±25

ated Current( 耐電流 ): 1-3A( 安培 ) EQUIVALENT CICUIT DIAGAM 等效電路圖 Electrical Characteristics 電氣特性 Impedance 阻抗 DC esistance ated Current Part Number Ω±25 ated Current( 耐電流 ): 1-3A( 安培 ) EQUIVALENT CICUIT DIAGAM 等效電路圖 Electrical Characteristics 電氣特性 Impedance 阻抗 DC esistance ated Current Part Number Ω±25% 歐姆直流電阻耐電流產品料號 @ 1MHz Ω (Max.) 歐姆 ma (Max.) 15 系列

More information

厦门大学博硕士论文摘要库

厦门大学博硕士论文摘要库 GSM The Design of Tri-frequencies Microstrip Antenna for GSM (900/1800/1900-MHz) 2005 5 GSM GSM GSM900 GSM1800 GSM1900 GSM GSM GSM900 GSM1800 GSM1800 GSM1900 GSM 900M 1800M H H E H GSM 120 GSM1800 GSM1900

More information

[1-3] (Smile) [4] 808 nm (CW) W 1 50% 1 W 1 W Fig.1 Thermal design of semiconductor laser vertical stack ; Ansys 20 bar ; bar 2 25 Fig

[1-3] (Smile) [4] 808 nm (CW) W 1 50% 1 W 1 W Fig.1 Thermal design of semiconductor laser vertical stack ; Ansys 20 bar ; bar 2 25 Fig 40 6 2011 6 Vol.40 No.6 Infrared and Laser Engineering Jun. 2011 808 nm 2000 W 1 1 1 1 2 2 2 2 2 12 (1. 710119 2. 710119) : bar 808 nm bar 100 W 808 nm 20 bar 2 000 W bar LIV bar 808 nm : : TN248.4 TN365

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 18 20 22 24 26 28 30 32 34 36 38 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中 我 們 相 信, 科 技 創 新 是 影 響 台 灣 競 爭 力 的 主 軸, 而 培 育 國 內 高 科 技 人 才, 正 是 金 矽 獎 創 辦 的 理 念

More information

Microsoft Word - netcontr.doc

Microsoft Word - netcontr.doc * 一 种 基 于 模 型 的 输 出 反 馈 网 络 化 控 制 系 统 刘 松 晖, 吴 俊, 徐 巍 华, 陈 生 (. 工 业 控 制 技 术 国 家 重 点 实 验 室 浙 江 大 学 先 进 控 制 研 究 所, 浙 江 杭 州 3007;. 南 安 普 敦 大 学 电 子 与 计 算 机 学 院, 英 国 南 安 普 敦 SO7 BJ) E-mail: shliu@iipc.zju.edu.cn

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

ICTQ Question 6

ICTQ Question 6 電子學有效教學示範 ( 電晶體小訊號分析 ) 主講人 : 古紹楷指導教授 : 戴建耘 Psntaton By Tzu-W Chn 1 Dpatmnt of Industal duaton Natonal Tawan Nomal Unvsty 重點闗鍵詞 : 小訊號分析 英文闗鍵詞 :small sgnal analyss 教學重點 : 1. 學生能了解電晶體交流等效電路 2. 學生能理解小訊號分析步驟

More information

; 3/2, Buck-Boost, 3 Buck-Boost DC-DC ; Y, Fig. 1 1 BBMC The topology of three phase-three phase BBMC 3 BBMC (Study on the control strategy of

; 3/2, Buck-Boost, 3 Buck-Boost DC-DC ; Y, Fig. 1 1 BBMC The topology of three phase-three phase BBMC 3 BBMC (Study on the control strategy of 26 2 2009 2 Control Theory & Applications Vol. 26 No. 2 Feb. 2009 : 1000 8152(2009)02 0203 06 Buck-Boost 1,2, 3, 2, 4, 1 (1., 411201; 2., 410083; 3., 411105; 4., 410004) :, Buck-Boost,.,,. :,,,, : Buck-Boost

More information

一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱

一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱 一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱 :ir@mediatek.com 代 理 發 言 人 : 姓 名 : 梁 厚 誼 職 稱 : 投 資 人 關 係 處 處 長 聯

More information

2016 年 NI 趨勢觀察報告 今日的願景 明日的創新 50B 2020 年的 連線裝置數量 IIOT 軟體 巨量類比資料 測試 5G 05 5G 5G 07 09 ( IoT ) 11 13 Trend Watch 2016 40 NI NI NI NI 16% NI Eric Starkloff Trend Watch 2016 5G 5G 5G 5G 5G 3GPP 5G IMT-2020

More information

<4D6963726F736F667420576F7264202D20B7A2D0D0B9C9B7DDBCB0D6A7B8B6CFD6BDF0B9BAC2F2D7CAB2FAB2A2C4BCBCAFC5E4CCD7D7CABDF0F4DFB9D8C1AABDBBD2D7D6AEB6C0C1A2B2C6CEF1B9CBCECAB1A8B8E6A3A8D0DEB6A9B8E5A3A9>

<4D6963726F736F667420576F7264202D20B7A2D0D0B9C9B7DDBCB0D6A7B8B6CFD6BDF0B9BAC2F2D7CAB2FAB2A2C4BCBCAFC5E4CCD7D7CABDF0F4DFB9D8C1AABDBBD2D7D6AEB6C0C1A2B2C6CEF1B9CBCECAB1A8B8E6A3A8D0DEB6A9B8E5A3A9> 国 信 证 券 股 份 有 限 公 司 关 于 杭 州 远 方 光 电 信 息 股 份 有 限 公 司 发 行 股 份 及 支 付 现 金 购 买 资 产 并 募 集 配 套 资 金 暨 关 联 交 易 之 独 立 财 务 顾 问 报 告 ( 修 订 稿 ) 独 立 财 务 顾 问 签 署 日 期 : 二 O 一 六 年 二 月 独 立 财 务 顾 问 声 明 与 承 诺 国 信 证 券 股 份 有

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

北 京 大 学

北 京 大 学 北 京 大 学 硕 士 研 究 生 培 养 方 案 ( 信 息 工 程 学 院 报 表 修 订 版 本 ) 一 级 学 科 名 称 专 业 名 称 电 子 科 学 与 技 术 微 电 子 学 与 固 体 电 子 学 专 业 代 码 080903 北 京 大 学 研 究 生 院 制 表 填 表 日 期 :2012 年 06 月 16 日 一 学 科 ( 专 业 ) 主 要 研 究 方 向 序 研 究

More information

第 5.1 節 5 章 雙極接面電晶體 基本雙極接面電晶體 5.1 (a) 偏壓在順向主動模式下之雙極電晶體的基極電流為 ib = 6.0 µa 而集極電流為 ic = 510 µa 求出 β α 及 ie (b) 若 ib = 50 µa 且 重做 (a) 部分 5.2 (a) 某特定種類電晶體之

第 5.1 節 5 章 雙極接面電晶體 基本雙極接面電晶體 5.1 (a) 偏壓在順向主動模式下之雙極電晶體的基極電流為 ib = 6.0 µa 而集極電流為 ic = 510 µa 求出 β α 及 ie (b) 若 ib = 50 µa 且 重做 (a) 部分 5.2 (a) 某特定種類電晶體之 430 設計電晶體電路之直流偏壓以符合特定直流電流及電壓 並穩定 Q 點使其抵抗電晶體參數之變動 應用直流分析與設計技巧於多級電晶體電路中 復習問題 1. 欲使電晶體偏壓於順向主動模式下 加在 npn 雙極電晶體上所需 之偏壓電壓為何 2. 定義 pnp 雙極電晶體操作在截止 順向主動模式 飽和模式下之 條件 3. 定義共基極電流增益及共射極電流增益 4. 討論交流及直流共射極電流增益之差別 5.

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

IEEE a IEEE b wireless LAN WLAN 5 GHz a 54 Mb/s 2.4 GHz b 11 Mb/s 2003 IEEE g 2.4 GHz 54 Mb/s n 100 Mb/s 802.

IEEE a IEEE b wireless LAN WLAN 5 GHz a 54 Mb/s 2.4 GHz b 11 Mb/s 2003 IEEE g 2.4 GHz 54 Mb/s n 100 Mb/s 802. Keysight Technologies WLAN 802.11ac IEEE 802.11a IEEE 802.11b wireless LAN WLAN 5 GHz 802.11a 54 Mb/s 2.4 GHz 802.11b 11 Mb/s 2003 IEEE 802.11g 2.4 GHz 54 Mb/s 2009 802.11n 100 Mb/s 802.11n MIMO 4 / 3

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

Mechanical Science and Technology for Aerospace Engineering October Vol No. 10 Web SaaS B /S Web2. 0 Web2. 0 TP315 A

Mechanical Science and Technology for Aerospace Engineering October Vol No. 10 Web SaaS B /S Web2. 0 Web2. 0 TP315 A 2012 10 31 10 Mechanical Science and Technology for Aerospace Engineering October Vol. 31 2012 No. 10 Web2. 0 400030 SaaS B /S Web2. 0 Web2. 0 TP315 A 1003-8728 2012 10-1638-06 Design and Implementation

More information

第 6 章 基本雙極電晶體放大器 數值 將小訊號混成 p 等效電路應用在多種雙極電晶體放大器電路 以 得到時變電路的特性 了解共射極放大器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解射極隨耦器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解共基極放大器的小訊號電壓及電流增益 以及輸入與輸出電

第 6 章 基本雙極電晶體放大器 數值 將小訊號混成 p 等效電路應用在多種雙極電晶體放大器電路 以 得到時變電路的特性 了解共射極放大器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解射極隨耦器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解共基極放大器的小訊號電壓及電流增益 以及輸入與輸出電 第 6 章 基本雙極電晶體放大器 數值 將小訊號混成 p 等效電路應用在多種雙極電晶體放大器電路 以 得到時變電路的特性 了解共射極放大器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解射極隨耦器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解共基極放大器的小訊號電壓及電流增益 以及輸入與輸出電 阻 在多級放大器電路的分析中 應用雙極電晶體的小訊號等效電 路 復習問題 1. 將負載線重疊至電晶體的特性曲線上

More information

天津商学院(二).DOC

天津商学院(二).DOC ... 1... 2... 6... 20... 26... 27... 30... 33... 35... 36... 42... 67... 71... 77... 79... 79... 80... 125 I ... 130... 145 21... 154... 168... 182... 191... 200 II 1 1. 2. 1500 1000 3. 100 100 600 4.

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

240 ( )

240 ( ) BIBLID 1026-5279 (2007) 96:2 p. 239-252252 (2007.12) 239 國家圖書館館刊 九十六年索引 ( 一 ) 中文 96 1 2007.6 87-122 96 1 2007.6 41-86 綉 綉 96 1 2007.6 1-39 96 2 2007.12 91-159 96 1 2007.6 96 1 2007.6 41-86 96 2 2007.12

More information

Page 1 of 7 欲 打 印 此 文 章, 从 您 的 浏 览 器 菜 单 中 选 择 文 件 后 再 选 打 印 MIMO 系 统 容 量 的 计 算 方 法 上 网 时 间 :2007-11-06 用 于 多 输 入 多 输 出 结 构 的 天 线 单 元 会 影 响 无 线 通 信 系 统 的 容 量 并 能 对 抗 多 径 效 应 提 高 性 能 的 一 个 关 键 是 为 系 统 方

More information

mm ~

mm ~ 16 3 2011 6 Vol 16 No 3 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Jun 2011 1 2 3 1 150040 2 150040 3 450052 1 3 4 > 1 ~ 3 > > U414 A 1007-2683 2011 03-0121- 06 Shrinkage Characteristics of

More information

Microsoft Word - c1380300.doc

Microsoft Word - c1380300.doc ICS 33.100.10 中 國 國 家 標 準 CNS - 1- 工 業 科 學 醫 學 射 頻 設 備 之 電 磁 干 擾 特 性 的 限 制 值 與 量 測 法 總 號 類 號 13803 C6383 Limits and methods of measurement of electromagnetic interference characteristics of industrial,

More information

(CIC) H10-86A 審查會議時間表

(CIC) H10-86A 審查會議時間表 請注意 : T25HVG2-105B 梯次下線晶片資料 1. 此資料包含下線晶片資料與不下線晶片資料 2. 下線晶片資料按 10% 部分負擔 新進教師晶片 預核計畫晶片 優良晶片 教育性晶片排列 3. 申請編號中, 大寫英文字母代表 :A:10% 部分負擔,N: 新進教師晶片,P: 預核計畫晶片,I: 優良晶片, E: 教育性晶片 ; 尾端小寫英文字母代表 :a: 使用 Cell-based Design

More information

Microsoft PowerPoint - 物聯網_1012_ch14 [相容模式]

Microsoft PowerPoint - 物聯網_1012_ch14 [相容模式] Chapter 14: 物 聯 網 架 構 Prof. Yuh-Shyan Chen Department of Computer Science and Information Engineering National Taipei University 1 目 錄 14-1 物 聯 網 概 述 14-2 物 聯 網 架 構 14-3 感 知 層 關 鍵 技 術 14-4 網 路 層 通 訊 與

More information

全汉不间断电源 全汉集团始于 1993 Began in 1993 UPS

全汉不间断电源 全汉集团始于 1993 Began in 1993 UPS 全汉不间断电源 全汉集团始于 1993 Began in 1993 UPS 专业卓越共赢 Professional excellence and win-win 目录 about company 1 1-3k 2 6-10k 3 RM 1-3k 4 RM 6-10k 5 RT 1-3K 6 RT 6-10K 7 3/1 10-30K 8 3/3 10-30K 9 3/3 40-200K 10 Mplus

More information

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm .Features: 1.Magnetic Shielded surface mount inductor with high current rating. 2.Low resistance to keep power loss minimum..applications: Excellent for power line DC-DC conversion applications used in

More information

WLAN 2

WLAN 2 1 WLAN 2 IEEE 802.11 HomeRF GSM/ GPRS CDMA 3 ( ) (Infrared) (Laser) (Microwave) (DSSS) (FHSS) (HomeRF) (Bluetooth) 4 ( ) IrDA (Direct-Beam IR, DB/ IR) (Diffuse IR, DF/ IR) (Ominidirectional IR, Omini/

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

<D2BDC1C6BDA1BFB5CDB6C8DAD7CAB8DFB7E5C2DBCCB3B2CEBBE1C3FBB5A52E786C7378>

<D2BDC1C6BDA1BFB5CDB6C8DAD7CAB8DFB7E5C2DBCCB3B2CEBBE1C3FBB5A52E786C7378> 参 会 人 员 名 单 Last Name 姓 名 公 司 Tel Fax Bai 柏 煜 康 复 之 家 8610 8761 4189 8610 8761 4189 Bai 白 威 久 禧 道 和 股 权 投 资 管 理 ( 天 津 ) 有 限 公 司 8610 6506 7108 8610 6506 7108 Bao 包 景 明 通 用 技 术 集 团 投 资 管 理 有 限 公 司 8610

More information

2, % % 25.1% EBITDA 1, % EBITDA 50.7% % 3, , % (MOU) % (ARPU) % 29.5% (Mob

2, % % 25.1% EBITDA 1, % EBITDA 50.7% % 3, , % (MOU) % (ARPU) % 29.5% (Mob CHINA MOBILE LIMITED 941 2,298 7.9% EBITDA 1,166 6.1% EBITDA 50.7% 576 4.2% 5.54 3,176 1.417 43% 1 2,298.18 7.9% 576.43 4.2% 25.1% EBITDA 1,166.12 6.1% EBITDA 50.7% 2.87 4.2% 3,176 5.54 16,646.54 20.0%

More information

Improving the Effectiveness of the Training of Civil Service by Applying Learning Science and Technology: The Case Study of the National Academy of Ci

Improving the Effectiveness of the Training of Civil Service by Applying Learning Science and Technology: The Case Study of the National Academy of Ci 善 用 學 習 科 技 提 升 公 務 人 員 培 訓 之 效 能 : 以 國 家 文 官 學 院 學 習 科 技 之 建 構 與 運 用 為 例 蔡 璧 煌 鍾 廣 翰 摘 要 公 務 人 員 的 素 質 代 表 一 國 國 力, 除 攸 關 國 家 施 政 外, 也 影 響 國 家 整 體 之 發 展, 因 此 如 何 善 用 學 習 科 技 協 助 公 務 人 員 培 訓 與 管 理, 未 來

More information

義 守 大 學 102 學 年 度 第 1 學 期 第 4 次 行 政 會 議 紀 錄 時 間 :103 年 1 月 8 日 ( 星 期 三 ) 下 午 2:00 地 點 : 行 政 大 樓 十 樓 國 際 會 議 廳 主 席 : 蕭 介 夫 校 長 紀 錄 : 楊 育 臻 壹 報 告 事 項 一

義 守 大 學 102 學 年 度 第 1 學 期 第 4 次 行 政 會 議 紀 錄 時 間 :103 年 1 月 8 日 ( 星 期 三 ) 下 午 2:00 地 點 : 行 政 大 樓 十 樓 國 際 會 議 廳 主 席 : 蕭 介 夫 校 長 紀 錄 : 楊 育 臻 壹 報 告 事 項 一 義 守 大 學 102 學 年 度 第 1 學 期 第 4 次 行 政 會 議 紀 錄 中 華 民 國 103 年 1 月 8 日 義 守 大 學 102 學 年 度 第 1 學 期 第 4 次 行 政 會 議 紀 錄 時 間 :103 年 1 月 8 日 ( 星 期 三 ) 下 午 2:00 地 點 : 行 政 大 樓 十 樓 國 際 會 議 廳 主 席 : 蕭 介 夫 校 長 紀 錄 : 楊 育

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

untitled

untitled A 60GHz 16Gb/s 16QAM Low-Power Direct-Conversion Transceiver Using Capacitive Cross-Coupling Neutralization in 65nm CMOS Hiroki Asada, Keigo Bunsen, Kota Matsushita, Rui Murakami, Qinghong Bu, Ahmed Musa,

More information

18-陈亚莉.FIT)

18-陈亚莉.FIT) 第 42 卷 第 4 期 2016 年 8 月 航 空 发 动 机 Aeroengine Vol. 42 No. 4 Aug. 2016 压 气 机 叶 片 型 面 精 密 数 控 铣 加 工 技 术 应 用 研 究 陈 亚 莉 1, 李 美 荣 2, 宋 成 2 ( 1. 空 军 驻 沈 阳 黎 明 航 空 发 动 机 ( 集 团 ) 有 限 责 任 公 司 军 事 代 表 室, 沈 阳 110043;

More information

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc AirTrack T600 http://www.netac.com.cn Netac Netac AirTrack OnlyDisk Netac Netac Netac http://www.netac.com.cn Netac 800-830-3662 FCC 15 B 1 2 3 4 / FCC 20cm 1 2 3 / / ...1 1.1...1 1.2...1 1.3...1 1.4...3...4

More information

Vol. 15 No. 1 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Feb O21 A

Vol. 15 No. 1 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Feb O21 A 5 200 2 Vol 5 No JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Feb 200 2 2 50080 2 30024 O2 A 007-2683 200 0-0087- 05 A Goodness-of-fit Test Based on Empirical Likelihood and Application ZHOU

More information

中國傳統醫學及養生保健學說中,與經脈及穴道有密不可分的關係

中國傳統醫學及養生保健學說中,與經脈及穴道有密不可分的關係 1 The Analysis of Meridian Point Bioenergy of Shian Kung Practices in Different Seasons Chieng Chien-Min National Kaohsiung University of Applied Sciences Abstract The current paper aims at examining the

More information

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5>

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5> 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 年 度 博 士 资 格 考 试 实 施 细 则 一 总 则 本 细 则 由 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 ( 以 下 简 称 信 息 学 院 ) 教 学 指 导 委 员 会 讨 论 制 定, 适 用 于 信 息 学 院 2014 级 硕 士 研 究 生 的 年 度 博 士 资 格 考 试 信 息 学 院 2014

More information

OKI Semiconductor

OKI Semiconductor 微孔型超音波霧化片驅動晶片 概述 特點 為一款霧化片驅動晶片, 專門用於微孔型超音波霧化片, 提供加濕片自動偵測共振頻率達到最佳的霧化效率 晶片工作電壓 2.4V ~ 5.5V 待機典型工作電流, 無負載 < 2.0uA@=5V( 停機 ) 適用微孔型霧化片, 中心共振頻率範圍 90KHz ~180KHz ( 需搭配外部電阻調整 ) 自動調整共振頻率範圍 ±15% 待機省電功能可用於電池供電 提供按鍵

More information

28 ISSN An Examination of Important Developments and Trends in Internet Usage in Taiwan Ya-Hui Yang, Chia-Lin Peng Abstract This paper uses

28 ISSN An Examination of Important Developments and Trends in Internet Usage in Taiwan Ya-Hui Yang, Chia-Lin Peng Abstract This paper uses ISSN 1680-8428 27 11 11 E-mail emma.yang0928@gmail.com 2014/11/17 2015/07/10 28 ISSN 1680-8428 An Examination of Important Developments and Trends in Internet Usage in Taiwan Ya-Hui Yang, Chia-Lin Peng

More information

Microsoft Word - 11-秦华伟.doc

Microsoft Word - 11-秦华伟.doc 热 带 海 洋 学 报 JOURNAL OF TROPICAL OCEANOGRAPHY 海 洋 调 查 与 观 测 仪 器 doi:10.3969/j.issn.1009-5470.2013.01.011 2013 年 第 32 卷 第 1 期 : 76 80 http://www.jto.ac.cn * 应 用 于 龟 山 岛 热 液 喷 口 探 寻 的 散 射 光 式 水 下 浊 度 仪 研

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

Microsoft Word - sbs.doc

Microsoft Word - sbs.doc 精 品 资 源 共 享 课 推 荐 表 ( 本 科 类 ) 推 荐 单 位 仲 恺 农 业 工 程 学 院 所 属 学 校 仲 恺 农 业 工 程 学 院 ( 是 否 部 属 ) 否 课 程 名 称 数 据 库 原 理 及 应 用 课 程 类 型 理 论 课 ( 不 含 实 践 ) 理 论 课 ( 含 实 践 ) 实 验 ( 践 ) 课 所 属 一 级 学 科 名 称 所 属 二 级 学 科 名 称

More information

* CUSUM EWMA PCA TS79 A DOI /j. issn X Incipient Fault Detection in Papermaking Wa

* CUSUM EWMA PCA TS79 A DOI /j. issn X Incipient Fault Detection in Papermaking Wa 2 *. 20037 2. 50640 CUSUM EWMA PCA TS79 A DOI 0. 980 /j. issn. 0254-508X. 207. 08. 004 Incipient Fault Detection in Papermaking Wastewater Treatment Processes WANG Ling-song MA Pu-fan YE Feng-ying XIONG

More information

PPTVIEW

PPTVIEW New Product line GP series AD3828GP~ AD12038GP DS series AD3828DS~ AD9238DS AB series AB6015~ AB12032 AS series AS6076~ AS12038 GP series Great Performance Axial fan New design for higher airflow & low

More information

ated Current( 耐電流 ): >3 A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 2129 (85) 2.± ± /-.2.2~ (126

ated Current( 耐電流 ): >3 A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 2129 (85) 2.± ± /-.2.2~ (126 ated Current( 耐電流 ): >3 A( 安培 ) INTODUCTION 產品介紹 Multilayer high current chip beads are SMD components that possess a ultra-low DC resistance. Their impedance mainly comprises resistive part. Therefore,

More information

132 包 装 工 程 2016 年 5 月 网 产 品 生 命 周 期 是 否 有 与 传 统 产 品 生 命 周 期 曲 线 相 关 的 类 似 趋 势 旨 在 抛 砖 引 玉, 引 起 大 家 对 相 关 问 题 的 重 视, 并 为 进 一 步 研 究 处 于 不 同 阶 段 的 互 联 网

132 包 装 工 程 2016 年 5 月 网 产 品 生 命 周 期 是 否 有 与 传 统 产 品 生 命 周 期 曲 线 相 关 的 类 似 趋 势 旨 在 抛 砖 引 玉, 引 起 大 家 对 相 关 问 题 的 重 视, 并 为 进 一 步 研 究 处 于 不 同 阶 段 的 互 联 网 第 37 卷 第 10 期 包 装 工 程 2016 年 5 月 PACKAGING ENGINEERING 131 传 统 产 品 生 命 周 期 曲 线 对 互 联 网 产 品 适 应 性 探 究 田 姣, 刘 吉 昆 ( 清 华 大 学, 北 京 100084) 摘 要 : 目 的 探 讨 传 统 产 品 生 命 周 期 曲 线 对 于 互 联 网 产 品 生 命 周 期 是 否 依 然 适

More information

发 行 概 览 发 行 股 票 种 类 人 民 币 普 通 股 发 行 数 量 : 3,750 万 股 发 行 新 股 股 数 股 东 公 开 发 售 股 份 数 3,000 万 股 750 万 股 每 股 面 值 1 元 人 民 币 预 计 发 行 日 期 2016 年 3 月 17 日 每 股

发 行 概 览 发 行 股 票 种 类 人 民 币 普 通 股 发 行 数 量 : 3,750 万 股 发 行 新 股 股 数 股 东 公 开 发 售 股 份 数 3,000 万 股 750 万 股 每 股 面 值 1 元 人 民 币 预 计 发 行 日 期 2016 年 3 月 17 日 每 股 广 东 通 宇 通 讯 股 份 有 限 公 司 TONGYU COMMUNICATION INC. ( 中 山 市 火 炬 开 发 区 金 通 街 3 号 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 保 荐 机 构 ( 主 承 销 商 ) ( 吉 林 省 长 春 市 自 由 大 路 1138 号 ) 发 行 概 览 发 行 股 票 种 类 人 民 币 普 通 股 发 行 数 量 : 3,750

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

填 表 须 知 一 本 申 报 书 适 用 于 申 报 安 徽 省 高 层 次 科 技 人 才 团 队 填 写 二 申 报 书 中 有 关 栏 目 需 选 择 填 写 的, 请 按 照 填 写 说 明 如 实 并 准 确 填 写 三 申 报 书 内 容 要 逐 项 填 写, 实 际 内 容 不 发

填 表 须 知 一 本 申 报 书 适 用 于 申 报 安 徽 省 高 层 次 科 技 人 才 团 队 填 写 二 申 报 书 中 有 关 栏 目 需 选 择 填 写 的, 请 按 照 填 写 说 明 如 实 并 准 确 填 写 三 申 报 书 内 容 要 逐 项 填 写, 实 际 内 容 不 发 安 徽 省 高 层 次 科 技 人 才 团 队 申 报 书 项 目 编 号 : 团 队 领 军 人 才 姓 名 : 叶 乐 所 从 事 专 业 或 产 业 领 域 : 电 子 科 学 与 技 术 公 司 拟 注 册 地 : 铜 陵 市 铜 官 山 区 单 位 地 址 : 北 京 市 海 淀 区 颐 和 园 路 5 号 微 纳 电 子 大 厦 543 邮 政 编 码 :100871 团 队 联 系 人

More information

<4D F736F F D20436F696C20B2FAC6B7C4BFC2BCD5FDCABDB0E62E646F63>

<4D F736F F D20436F696C20B2FAC6B7C4BFC2BCD5FDCABDB0E62E646F63> POWER INDUCTOR 功率電感 LQH SERIES Features High Q values Low resistance Ordering Code 特性 LQH 1210 4R7 高 Q 值. 1 2 3 4 低阻抗. 1.Type 類型 2.Dimension 尺寸 3. Inductance 電感值 4.Tolerance 公差 M=±20% K=±10% J=±5% Dimensions

More information

1.0 % 0.25 % 85μm % U416 Sulfate expansion deformation law and mechanism of cement stabilized macadam base of saline areas in Xinjiang Song

1.0 % 0.25 % 85μm % U416 Sulfate expansion deformation law and mechanism of cement stabilized macadam base of saline areas in Xinjiang Song 1.0 % 0.25 % 85μm 0.97 0.136 % U416 Sulfate expansion deformation law and mechanism of cement stabilized macadam base of saline areas in Xinjiang Song Liang 1,2 Wang Xuan-cang 1 1 School of Highway, Chang

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

m 3 m m 84 m m m m m m m

m 3 m m 84 m m m m m m m 2011 8 8 155 JOURNAL OF RAILWAY ENGINEERING SOCIETY Aug 2011 NO. 8 Ser. 155 1006-2106 2011 08-0096 - 06 430063 1 2 3 U231 +. 4 A Design of Underground Space of Furong Square Station of Urban Rail Transit

More information

<CAFDD7D6B5E7C1A6B5E7D7D3D1A72E696E6464>

<CAFDD7D6B5E7C1A6B5E7D7D3D1A72E696E6464> International Documents and Reports Digital power electronics T=1/ff EF-Energy Factor PF-pumping Factor SE-stored Energy CIR-Capacitor-inductor stored energy Ratio τ τ d ZOH/FOH/SOH τ- EF PF SE 中图分类号 :TN86

More information

Mnq 1 1 m ANSYS BEAM44 E0 E18 E0' Y Z E18' X Y Z ANSYS C64K C70C70H C /t /t /t /mm /mm /mm C64K

Mnq 1 1 m ANSYS BEAM44 E0 E18 E0' Y Z E18' X Y Z ANSYS C64K C70C70H C /t /t /t /mm /mm /mm C64K 25 4 Vol. 25 No. 4 2012 12 JOURNAL OF SHIJIAZHUANG TIEDAO UNIVERSITY NATURAL SCIENCE Dec. 2012 1 2 1 2 3 4 1 2 1. 050043 2. 050043 3. 3300134. 450052 ANSYS C80 C80 125 ac70 0 U24 A 2095-0373201204-0017-06

More information

A dissertation for Master s degree Metro Indoor Coverage Systems Analysis And Design Author s Name: Sheng Hailiang speciality: Supervisor:Prof.Li Hui,

A dissertation for Master s degree Metro Indoor Coverage Systems Analysis And Design Author s Name: Sheng Hailiang speciality: Supervisor:Prof.Li Hui, 中 国 科 学 技 术 大 学 工 程 硕 士 学 位 论 文 地 铁 内 移 动 通 信 室 内 覆 盖 分 析 及 应 用 作 者 姓 名 : 学 科 专 业 : 盛 海 亮 电 子 与 通 信 导 师 姓 名 : 李 辉 副 教 授 赵 红 媛 高 工 完 成 时 间 : 二 八 年 三 月 十 日 University of Science and Technology of Ch A dissertation

More information

實驗八:圓極化波微帶天線設計及量測

實驗八:圓極化波微帶天線設計及量測 實 驗 七 圓 極 化 波 微 帶 天 線 設 計 及 量 測 一 實 驗 目 的 : 設 計 中 心 頻 率.545 GHz 之 圓 極 化 波 微 帶 天 線, 在 中 心 頻 率 之 圓 極 化 波 之 軸 比 (axial atio) 需 小 於. db 天 線 設 計 一 形 狀 取 矩 形 但 近 似 正 方 形 之 設 計, 而 以 同 軸 線 為 饋 入 方 式 使 用 單 片 FR4

More information

大唐电信 Datang Telecom Technology & 中文刊名: 大唐电信集团通讯 Industry Group Magazine Published by Datang Telecom 主办单位: 大唐电信科技产业集团 Technology & Industry Group Edito

大唐电信 Datang Telecom Technology & 中文刊名: 大唐电信集团通讯 Industry Group Magazine Published by Datang Telecom 主办单位: 大唐电信科技产业集团 Technology & Industry Group Edito 卷 首 创 新 驱 动 发 展 大 唐 直 面 挑 战 TIDE 绿 杨 烟 外 晓 寒 轻, 红 杏 枝 头 春 意 闹 不 知 不 觉 中, 春 天 的 气 息 已 迎 面 扑 来, 在 这 个 略 带 寒 意 的 春 天 里, 倍 受 瞩 目 的 两 会 已 完 美 落 下 帷 幕, 两 会 审 议 通 过 的 总 理 政 府 工 作 报 告 和 十 二 五 规 划 纲 要, 既 明 确 了

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

1. 课 程 负 责 人 情 况 姓 名 蒋 效 宇 性 别 男 出 生 年 月 基 本 信 息 最 终 学 历 研 究 生 职 称 副 教 授 电 话 学 位 博 士 职 务 无 传 真 研 究 方 向 MIS 系 统 整 合 电 子

1. 课 程 负 责 人 情 况 姓 名 蒋 效 宇 性 别 男 出 生 年 月 基 本 信 息 最 终 学 历 研 究 生 职 称 副 教 授 电 话 学 位 博 士 职 务 无 传 真 研 究 方 向 MIS 系 统 整 合 电 子 北 京 服 装 学 院 精 品 课 程 建 设 立 项 申 报 表 课 程 名 称 管 理 信 息 系 统 所 属 部 门 商 学 院 课 程 类 型 理 论 课 ( 不 含 实 践 ) 理 论 课 ( 含 实 践 ) 实 验 ( 践 ) 课 所 属 一 级 学 科 名 称 所 属 二 级 学 科 名 称 课 程 负 责 人 管 理 科 学 与 工 程 管 理 信 息 系 统 蒋 效 宇 申 报 日

More information

698 39,., [6].,,,, : 1) ; 2) ,, 14,, [7].,,,,, : 1) :,. 2) :,,, 3) :,,,., [8].,. 1.,,,, ,,,. : 1) :,, 2) :,, 200, s, ) :,.

698 39,., [6].,,,, : 1) ; 2) ,, 14,, [7].,,,,, : 1) :,. 2) :,,, 3) :,,,., [8].,. 1.,,,, ,,,. : 1) :,, 2) :,, 200, s, ) :,. 39 6 Vol. 39, No. 6 2013 6 ACTA AUTOMATICA SINICA June, 2013 1, 2,,,. DOI,,,., 2013, 39(6): 697 702 10.3724/SP.J.1004.2013.00697 Present Situation and Development Tendency of Aerospace Control Techniques

More information

Sep (SCI) 10. Jiann-Ming Wu, Annealing by two sets of interactive dynamics, IEEE Trans. on Systems Man and Cybernetics Part B-Cybernetics 34 (3)

Sep (SCI) 10. Jiann-Ming Wu, Annealing by two sets of interactive dynamics, IEEE Trans. on Systems Man and Cybernetics Part B-Cybernetics 34 (3) 03-863-3531 E-mail jmwu@mail.ndhu.edu.tw /(1990/06-1994/06) (1988/06-1990/06) (1984/09-1988/06) / (1997/8-) (1996/08-1997/07) () 1996 8 Wu, Jiann-Ming ( SCI EI SSCI TSSCI EconLit A&HCI ) 1. Pei-Hsun Hsu

More information