WF512_0x0-A-DS.fm

Size: px
Start display at page:

Download "WF512_0x0-A-DS.fm"

Transcription

1 是串行闪存 25 系列的成员, 采用四线 兼容 SPI 的接口, 从而实现占用较少电路板空间的低引脚数封装, 并最终降低总系统成本 SPI 串行闪存采用 SST 专有的高性能 CMOS SuperFlash 技术制造 与其他方法相比, 分离栅极单元设计 (Split-gate cell design) 和厚氧化层隧穿注入器 (Thick-oxide tunneling injector) 可实现更高的可靠性和可制造性 特性 单电压读写操作 V 串行接口架构 兼容 SPI: 模式 0 和模式 3 高速时钟频率 40 MHz 超高可靠性 可擦写次数 :100,000 次 数据保存时间大于 100 年 超低功耗 : 读操作工作电流 :2 ma (20 MHz 时的典型值 ) 待机电流 :2 µa ( 典型值 ) 灵活的擦除功能 均一 4 KB 扇区 均一 32 KB 覆盖块 均一 64 KB 覆盖块 ( 仅限 2 Mb 和 4 Mb 型号 ) 快速擦除和字节编程 : 全片擦除时间 :125 ms ( 典型值 ) 扇区 / 块擦除时间 :62 ms ( 典型值 ) 字节编程时间 :50 µs ( 典型值 ) 自动地址递增 (Auto Address Increment, AAI) 编程 减少字节编程操作期间的总芯片编程时间 写操作结束检测 软件轮询状态寄存器中的 BUSY 位 引脚上的忙状态读出 复位引脚 (RST#) 或可编程保持引脚 (HOLD#) 选项 默认配备硬件复位引脚 保持引脚选项用于在不取消选择器件的情况下暂停串行序列 写保护 (WP#) 使能 / 禁止状态寄存器的锁定功能 软件写保护 通过状态寄存器中的块保护位实现写保护 温度范围 工业级 :-40 C 至 +85 C 可用封装 8 引脚 IC (150 mil) 8 触点 WN (5 mm x 6 mm) 所有器件均符合 RoHS 标准

2 产品描述 SST25WF512 SST25WF010 SST25WF020 和 SST25WF040 是串行闪存 25 系列的成员, 采用四线 兼容 SPI 的接口, 从而实现占用较少电路板空间的低引脚数封装, 并最终降低总系统成本 SST25WF512/010/020/040 SPI 串行闪存采用 SST 专有的高性能 CMOS SuperFlash 技术制造 与其他方法相比, 分离栅极单元设计 (Split-gate cell design) 和厚氧化层隧穿注入器 (Thick-oxide tunneling injector) 可实现更高的可靠性和可制造性 SST25WF512/010/020/040 器件可以显著提高性能和可靠性, 同时降低功耗 对于 SST25WF512/ 010/020/040, 器件使用 V 单电源进行写操作 ( 编程或擦除 ) 消耗的总能量是应用中施加电压 电流和时间的函数 对于任何给定的电压范围,SuperFlash 技术的编程电流更低 擦除时间更短 ; 因此, 在任何擦除或编程操作期间消耗的总能量低于其他闪存技术 SST25WF512/010/020/040 器件提供 8 引脚 IC 和 8 触点 WN 封装 有关引脚分配, 请参见图 2 2

3 框图 X SuperFlash Y I/O WP# RST#/HOLD# 1328 F01.0 注 : 在 AAI 模式中, 当 引脚配置为就绪 / 忙状态引脚时, 其作用与 RY/BY# 引脚相同 更多信息, 请参见第 16 页上的 写操作结束检测 图 1: 功能框图 3

4 引脚说明 1 8 V DD 1 8 V DD 2 7 RST#/HOLD# 2 7 RST#/HOLD# WP# 3 6 WP# 3 6 V SS 4 5 V SS wson P WF 08-soic-P0.0 8 引脚 IC 8 触点 WN 图 2: 表 1: 8 引脚 IC 和 8 触点 WN 的引脚分配 引脚说明 符号 引脚名称 功能 串行时钟 用于提供串行接口的时序 命令 地址或输入数据在时钟输入的上升沿进行锁存, 而输出数据在时钟输入的下降沿移出 串行数据输入 用于以串行方式将命令 地址或数据传送到器件中 输入在串行时钟的上升沿进行锁存 串行数据输出 用于以串行方式将数据传送出器件 数据在串行时钟的下降沿移出 在 AAI 模式下, 如果 引脚配置为硬件 RY/BY# 引脚, 则该引脚为闪存忙状态引脚 更多信息, 请参见第 16 页上的 写操作结束检测 芯片使能 上高电平到低电平的转换可使能器件 在任何命令序列的持续时间内, 都必须保持低电平 WP# 写保护 写保护 (WP#) 引脚用于使能 / 禁止状态寄存器中的 BPL 位 复位用于复位器件和内部逻辑的操作 器件上电时, 默认提供 RST# 引脚功能 RST#/ HOLD# 保持用于在选择器件时暂时停止与 SPI 闪存存储器的串行通信 这通过第 6 页上的 复位 / 保持模式 中详细说明的指令序列进行选择 V DD 电源用于提供电源电压 : 用于 SST25WF512/010/020/040 的 V V SS 地 T

5 存储器构成 SST25WF512/010/020/040 SuperFlash 存储器阵列由均一 4 KB 及 16 KB 32 KB 和 64 KB( 仅限 2 Mb 和 4 Mb 型号 ) 覆盖可擦除块组成 器件操作 SST25WF512/010/020/040 通过兼容 SPI ( 串行外设接口 ) 总线的协议进行访问 SPI 总线包含四条控制线 ; 芯片使能 () 用于选择器件, 数据通过串行数据输入 () 串行数据输出 () 和串行时钟 () 进行访问 SST25WF512/010/020/040 支持 SPI 总线操作的模式 0 (0,0) 和模式 3 (1,1) 如图 3 所示, 两种模式之间的差异在于当总线主器件处于待机模式并且没有数据传送时的 信号状态 信号在模式 0 时为低电平, 在模式 3 时为高电平 对于这两种模式, 串行数据输入 () 在 时钟信号的上升沿被采样, 串行数据输出 () 在 时钟信号的下降沿之后被驱动 3 3 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 MSb Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 MSb 1328 F03.0 图 3: SPI 协议 5

6 复位 / 保持模式 RST#/HOLD# 引脚提供硬件复位或保持引脚 上电后,RST#/HOLD# 引脚默认为硬件复位引脚 (RST#) 该引脚的保持模式是用户选择的选项, 在该选项中通过 使能 - 保持 指令使能保持模式 一旦选择为保持引脚 (HOLD#), RST#/HOLD# 引脚就会立即配置为 HOLD# 引脚, 只有经过掉电和上电序列后才恢复为 RST# 引脚 复位如果 RST#/HOLD# 引脚用作复位引脚, 则 RST# 引脚提供了复位器件的硬件方法 将 RST# 引脚驱动为高电平可将器件置于正常工作模式 RST# 引脚必须被驱动为低电平, 并持续最少 T RST 时间, 才能复位器件 器件复位时, 引脚处于高阻抗状态 成功的复位会将状态寄存器复位到上电状态 有关默认上电模式, 请参见表 4 在有效的编程或擦除操作期间复位器件将中止操作, 目标地址范围内的数据可能会由于擦除或编程操作中止而发生毁坏或丢失 器件退出正在进行的 AAI 编程模式并将 引脚置于高阻抗状态 T RECR T RECP T RECE RST# T RST T RHZ 1328 Fx4.0 图 4: 复位时序图 表 2: 复位时序参数 符号 参数 最小值 最大值 单位 T RST 复位脉冲宽度 100 ns T RHZ 复位为高阻态输出 107 ns T RECR 从读取到复位恢复 100 ns T RECP 从编程到复位恢复 10 µs T RECE 从擦除到复位恢复 1 ms T

7 保持保持操作将使能 RST#/HOLD# 引脚的保持引脚功能 设置为保持引脚模式之后,RST#/HOLD# 引脚继续用作保持引脚, 直到器件掉电再上电为止 掉电再上电之后, 引脚功能恢复为复位引脚 (RST#) 模式 有关保持指令的详细时序, 请参见第 22 页上的 使能保持 (EHLD) 在保持模式下, 正在操作 SPI 闪存存储器的串行序列将暂停, 但不会复位时钟序列 要激活 HOLD# 模式, 必须处于有效低电平状态 当 有效低电平状态与 HOLD# 信号的下降沿同时发生时, HOLD# 模式开始 当 HOLD# 信号的上升沿与 有效低电平状态同时发生时, 保持模式结束 如果 HOLD# 信号的下降沿未与 有效低电平状态同时发生, 则当 再次达到有效低电平状态时器件进入保持模式 同样, 如果 HOLD# 信号的上升沿未与 有效低电平状态同时发生, 则当 再次达到有效低电平状态时器件退出保持模式 有关保持条件波形, 请参见图 5 器件进入保持模式之后, 将处于高阻抗状态, 而 和 可以为 V IL 或 V IH 如果在保持条件期间将 驱动为有效高电平, 则器件恢复到待机模式 然后可以使用表 9 和 10 中列出的命令序列重新启动器件 只要 HOLD# 信号为低电平, 存储器就会保持在保持条件下 要继续与器件进行通信, 必须将 HOLD# 驱动为有效高电平, 并将 驱动为有效低电平 有关保持时序, 请参见图 5 HOLD# 1328 Fx5.0 图 5: 保持条件波形 写保护 SST25WF512/010/020/040 提供了软件写保护 写保护引脚 (WP#) 用于使能或禁止状态寄存器的锁定功能 状态寄存器中的块保护位 (BP2 BP1 BP0 和 BPL) 提供对存储器阵列和状态寄存器的写保护 有关块保护的说明, 请参见表 5 写保护引脚 (WP#) 写保护 (WP#) 引脚用于使能状态寄存器的 BPL 位 (bit 7) 的锁定功能 当 WP# 驱动为低电平时, 是否执行写状态寄存器 (WRSR) 指令由 BPL 位的值 ( 见表 3) 决定 当 WP# 为高电平时, BPL 位的锁定功能被禁止 表 3: 执行写状态寄存器 (WRSR) 指令的条件 WP# BPL 执行 WRSR 指令 L 1 不允许 L 0 允许 H X 允许 T

8 状态寄存器 软件状态寄存器提供有关闪存存储器阵列是否可进行任何读或写操作的状态 器件是否写使能以及存储器写保护的状态 在内部擦除或编程操作期间, 可对状态寄存器只进行读操作, 以确定正在进行的操作的完成状态 表 4 列出了软件状态寄存器中每个位的功能 表 4: 软件状态寄存器 BUSY Bit 名称 功能 上电时的默认值 读 / 写 0 BUSY 1 = 内部写操作正在进行中 0 R 0 = 没有内部写操作正在进行中 1 WEL 1 = 器件处于存储器写使能状态 0 R 0 = 器件未处于存储器写使能状态 2 BP0 指示当前的块写保护级别 ( 见表 5 到 8) 1 R/W 3 BP1 指示当前的块写保护级别 ( 见表 5 到 8) 1 R/W 4 BP2 指示当前的块写保护级别 ( 见表 5 到 8) 1 R/W 5 RES 保留供将来使用 0 N/A 6 AAI 自动地址递增编程状态 0 R 1 = AAI 编程模式 0 = 字节编程模式 7 BPL 1 = BP1 和 BP0 为只读位 0 = BP1 和 BP0 可读 / 可写 0 R/W T BUSY 位确定是否有内部擦除或编程操作正在进行中 BUSY 位为 1 表示器件正忙于操作 BUSY 位为 0 表示器件准备好进行下一个有效操作 写使能锁存器 (WEL) 写使能锁存器位表示内部写使能锁存器存储器的状态 如果 WEL 位置 1, 则表示器件写使能 如果该位置 0 ( 复位 ), 则表示器件未写使能, 并且不接受任何写 ( 编程 / 擦除 ) 命令 在下列条件下, 写使能锁存器位自动复位 : 器件复位 上电 写禁止 (WRDI) 指令完成 字节编程指令完成 自动地址递增 (AAI) 编程完成或达到其最高的不受保护的存储器地址 扇区擦除指令完成 块擦除指令完成 全片擦除指令完成 写状态寄存器指令 8

9 自动地址递增 (AAI) 自动地址递增编程状态位提供有关器件处于 AAI 编程模式还是字节编程模式的状态 上电时默认为字节编程模式 块保护 (BP2 BP1 和 BP0) 块保护 (BP1 和 BP0) 位定义了要通过软件保护方式防止任何存储器写 ( 编程或擦除 ) 操作的存储器区域的大小, 见表 5-7 只要 WP# 为高电平或块保护锁定 (Block-Protect-Lock,BPL) 位为 0, 就可以使用写状态寄存器 (WRSR) 指令编程 BP1 和 BP0 位 仅当块保护位全部为 0 时, 才能执行全片擦除 上电后, BP2 BP1 和 BP0 设置为默认值 有关上电时的默认值, 请参见表 4 块保护锁定 (BPL) 当 WP# 引脚驱动为低电平 (V IL ) 时, 将使能块保护锁定 (BPL) 位 当 BPL 置 1 时, 将阻止对 BPL BP1 和 BP0 位的任何进一步修改 当 WP# 引脚驱动为高电平 (V IH ) 时, BPL 位没有任何作用, 其值为 无关 上电后, BPL 位复位为 0 表 5: SST25WF512 的软件状态寄存器块保护 状态寄存器位 受保护的存储器地址 保护级别 BP1 1 BP0 512 Kb 无 0 0 无 1 ( 前四分之一存储器 ) C000H-00FFFFH 2 ( 前半部分存储器 ) H-00FFFFH 3 ( 全部存储器 ) H-00FFFFH 1. 上电时, BP1 和 BP0 的默认值为 11 T 表 6: SST25WF010 的软件状态寄存器块保护 状态寄存器位 受保护的存储器地址 保护级别 BP1 1 BP0 1 Mb 无 0 0 无 1 ( 前四分之一存储器 ) H-01FFFFH 2 ( 前半部分存储器 ) H-01FFFFH 3 ( 全部存储器 ) H-01FFFFH 1. 上电时, BP1 和 BP0 的默认值为 11 T 表 7: SST25WF020 的软件状态寄存器块保护 状态寄存器位 受保护的存储器地址 保护级别 BP1 1 BP0 2 Mb 无 0 0 无 1 ( 前四分之一存储器 ) H-03FFFFH 2 ( 前半部分存储器 ) H-03FFFFH 3 ( 全部存储器 ) H-03FFFFH 1. 上电时, BP1 和 BP0 的默认值为 11 T

10 表 8: SST25WF040 的软件状态寄存器块保护 状态寄存器位 受保护的存储器地址 保护级别 受保护的块 BP2 1 BP1 BP0 4 Mb 无 无 无 1 ( 前八分之一存储器 ) 块 14 到 H-7FFFFH 2 ( 前四分之一存储器 ) 块 12 到 H-7FFFFH 3 ( 前半部分存储器 ) 块 8 到 H-7FFFFH 4 ( 全部存储器 ) 块 0 到 H-7FFFFH 5 ( 全部存储器 ) 块 0 到 H-7FFFFH 6 ( 全部存储器 ) 块 0 到 H-7FFFFH 7 ( 全部存储器 ) 块 0 到 H-7FFFFH 1. 上电时, BP2 BP1 和 BP0 的默认值为 11 T

11 指令 指令用于读 写 ( 擦除和编程 ) 和配置 SST25WF512/010/020/040 指令总线周期是 8 个表示命令 ( 操作码 ) 数据和地址的位 写使能 (WREN) 指令必须在字节编程 自动地址递增 (AAI) 编程 扇区擦除 块擦除 写状态寄存器或全片擦除指令之前执行 表 9 和 10 中提供了完整的指令 所有指令在 从高电平转换到低电平时同步 在 的上升沿从最高有效位开始接受输入 在输入指令之前, 必须驱动为低电平, 而在输入指令的最后一位后, 必须驱动为高电平 ( 读 读 ID 和读状态寄存器指令除外 ) 在接收到指令总线周期的最后一位之前, 上任何低电平到高电平的转换都将终止正在进行的指令, 并将器件恢复为待机模式 指令命令 ( 操作码 ) 地址和数据都先从最高有效位 (Most Significant Bit, MSb) 输入 表 9: SST25WF512 和 SST25WF010 的器件操作指令 指令 说明 操作码周期 1 地址周期 2 空周期 数据周期 最大频率 读 读存储器 b (03H) 至 20 MHz 高速读 以较高速度读存储器 b (0BH) 至 4 KB 扇区擦除 3 擦除 4 KB 的存储器 b (20H) 阵列 32 KB 块擦除 4 擦除 32 KB 块的存储 b (52H) 器阵列 全片擦除 擦除全部存储器阵列 b (60H) 或 b (C7H) 字节编程 编程一个数据字节 b (02H) AAI 字编程 5 自动地址递增编程 b (ADH) 至 RDSR 6 读取状态寄存器 b (05H) 至 EWSR 7 使能写状态寄存器 b (50H) WRSR 写状态寄存器 b (01H) WREN 7 写使能 b (06H) MHz WRDI 写禁止 b (04H) RDID 8 EBSY 读 ID 在 AAI 编程期间使能 以输出 RY/BY# 状态 b (90H) 或 b (ABH) 至 b (70H) DBSY 在 AAI 编程期间禁止 b (80H) 以输出 RY/BY# 状态 JEDEC-ID JEDEC ID 读 b (9FH) 至 EHLD 使能 RST#/HOLD# 引脚的 HOLD# 引脚功能 b (AAH) T

12 1. 一个总线周期等于八个时钟周期 2. 每个容量的最高有效位以上的地址位可以是 V IL 或 V IH 3. 4 KB 扇区擦除地址 : 使用 A MS -A 12, 其余地址没有影响, 但必须设置为 V IL 或 V IH KB 块擦除地址 : 使用 A MS -A 15, 其余地址没有影响, 但必须设置为 V IL 或 V IH 5. 要继续编程到下一个顺序地址单元, 应输入 8 位命令 ADH, 后面紧跟要编程的 2 字节数据 A 0 =0 时, 数据字节 0 将被编程到初始地址 [A 23 -A 1 ] 中 ; A 0 = 1 时, 数据字节 1 将被编程到初始地址 [A 23 -A 1 ] 中 6. 读状态寄存器继续使用当前时钟周期, 直到被 上低电平到高电平的转换终止 7. EWSR 或 WREN ( 后面紧跟 WRSR) 将写入状态寄存器 EWSR-WRSR 序列可向后兼容 SST25VF/LF 系列 建议对新设计使用 WREN-WRSR 序列 8. 当 A 0 =0 时读取制造商 ID, 当 A 0 = 1 时读取器件 ID 所有其他地址位均为 00H 制造商 ID 和器件 ID 输出流一直持续到被 上低电平到高电平的转换终止为止 表 10: SST25WF020 和 SST25WF040 的器件操作指令 指令 说明 操作码周期 1 地址周期 2 空周期 数据周期 最大频率 读 读存储器 b (03H) 至 20 MHz 高速读 以较高速度读存储器 b (0BH) 至 4 KB 扇区擦除 3 擦除 4 KB 的存储器 b (20H) 阵列 32 KB 块擦除 4 擦除 32 KB 块的存储 b (52H) 器阵列 64 KB 块擦除 5 擦除 64 KB 块的存储 b (D8H) 器阵列 全片擦除 擦除全部存储器阵列 b (60H) 或 b (C7H) 字节编程 编程一个数据字节 b (02H) AAI 字编程 6 自动地址递增编程 b (ADH) 至 RDSR 7 读取状态寄存器 b (05H) 至 EWSR 8 使能写状态寄存器 b (50H) WRSR 写状态寄存器 b (01H) WREN 8 写使能 b (06H) WRDI 写禁止 b (04H) RDID 9 读 ID b (90H) 至 或 b (ABH) EBSY 在 AAI 编程期间使能 b (70H) 以输出 RY/BY# 状态 DBSY 在 AAI 编程期间禁止 b (80H) 以输出 RY/BY# 状态 JEDEC-ID JEDEC ID 读 b (9FH) 至 EHLD 使能 RST#/HOLD# b (AAH) 引脚的 HOLD# 引脚 功能 40 MHz T

13 读 (20 MHz) 1. 一个总线周期等于八个时钟周期 2. 每个容量的最高有效位以上的地址位可以是 V IL 或 V IH 3. 4 KB 扇区擦除地址 : 使用 A MS -A 12, 其余地址没有影响, 但必须设置为 V IL 或 V IH KB 块擦除地址 : 使用 A MS -A 15, 其余地址没有影响, 但必须设置为 V IL 或 V IH KB 块擦除地址 : 使用 A MS -A 16, 其余地址没有影响, 但必须设置为 V IL 或 V IH 6. 要继续编程到下一个顺序地址单元, 应输入 8 位命令 ADH, 后面紧跟要编程的 2 字节数据 A 0 =0 时, 数据字节 0 将被编程到初始地址 [A 23 -A 1 ] 中 ; A 0 = 1 时, 数据字节 1 将被编程到初始地址 [A 23 -A 1 ] 中 7. 读状态寄存器继续使用当前时钟周期, 直到被 上低电平到高电平的转换终止 8. EWSR 或 WREN ( 后面紧跟 WRSR) 将写入状态寄存器 EWSR-WRSR 序列可向后兼容 SST25VF/LF 系列 建议对新设计使用 WREN-WRSR 序列 9. 当 A 0 =0 时读取制造商 ID, 当 A 0 =1 时读取器件 ID 所有其他地址位均为 00H 制造商 ID 和器件 ID 输出流一直持续到被 上低电平到高电平的转换终止为止 读指令 (03H) 支持最高为 20 MHz 的读操作 器件从指定的地址单元开始输出数据流 数据流连续遍历所有地址, 直到被 上低电平到高电平的转换终止 内部地址指针自动递增, 直到达到最高的存储器地址为止 达到最高的存储器地址后, 地址指针将自动递增到地址空间的开始位置 ( 回绕 ) 例如, 对于 2 Mb 容量, 从地址单元 3FFFFH 读取数据之后, 下一次输出将来自地址单元 H 通过执行 8 位命令 03H( 后面紧跟地址位 A 23 -A 0 ) 来启动读指令 在读周期的持续时间内, 必须保持有效低电平 有关读序列, 请参见图 N N+1 N+2 N+3 N+4 D OUT D OUT D OUT D OUT D OUT 1328 Fx6.0 图 6: 读序列 13

14 高速读 (40 MHz) 高速读指令支持最高为 40 MHz 的读操作, 通过执行 8 位命令 0BH( 后面紧跟地址位 [A 23 -A 0 ] 和一个空字节 ) 来启动 在高速读周期的持续时间内, 必须保持有效低电平 有关高速读序列, 请参见图 7 在一个空周期之后, 高速读指令从指定的地址单元开始输出数据 数据输出流连续遍历所有地址, 直到被 上低电平到高电平的转换终止 内部地址指针将自动递增, 直到达到最高的存储器地址为止 达到最高的存储器地址后, 地址指针将自动递增到地址空间的开始位置 ( 回绕 ) 例如, 对于 2 Mb 容量, 从地址单元 3FFFFH 读取数据之后, 下一次输出将来自地址单元 H B X N N+1 N+2 N+3 N+4 D OUT D OUT D OUT D OUT D OUT 1328 F07.0 图 7: 高速读序列 14

15 字节编程 字节编程指令用于将所选字节中的位编程为所需数据 当启动编程操作时, 所选字节必须处于擦除状态 (FFH) 应用到受保护存储器区域的字节编程指令将被忽略 在执行任何写操作之前, 必须先执行写使能 (WREN) 指令 在字节编程指令的持续时间内, 必须保持有效低电平 通过执行 8 位命令 02H ( 后面紧跟地址位 [A 23 -A 0 ]) 来启动字节编程指令 在地址后面, 按照从 MSb(bit 7) 到 LSb(bit 0) 的顺序输入数据 在执行指令之前, 必须驱动为高电平 用户可以轮询软件状态寄存器中的 BUSY 位, 或等待 T BP 时间让内部自定时字节编程操作完成 有关字节编程序列, 请参见图 D IN LSB 图 8: 字节编程序列 1328 F08.0 自动地址递增 (AAI) 字编程 AAI 编程指令允许对多个字节的数据进行编程, 无需再次发出下一顺序地址单元 当要对多个字节或整个存储器阵列编程时, 该特性可减少总编程时间 指向受保护存储器区域的 AAI 字编程指令将被忽略 当启动 AAI 字编程操作时, 所选地址范围必须处于擦除状态 (FFH) 当处于 AAI 字编程序列中时, 仅 AAI 字 (ADH) RDSR (05H) 或 WRDI (04H) 指令有效 用户可选择三种方式来确定每个 AAI 字编程周期是否完成 : 通过读取串行输出进行硬件检测 通过轮询软件状态寄存器中的 BUSY 位进行软件检测或等待 T BP 的时间 详细信息, 请参见 写操作结束检测 一节 在执行任何写操作之前, 必须先执行写使能 (WREN) 指令 通过执行 8 位命令 ADH ( 后面紧跟地址位 [A 23 -A 0 ]) 来启动 AAI 字编程指令 在地址后面, 连续输入两个字节的数据, 每个字节都从 MSb(Bit 7) 到 LSb (Bit 0) 第一个字节数据 (D0) 将编程到初始地址 [A 23 -A 1 ] 中, 且 A 0 = 0 ; 第二个字节数据 (D1) 将编程到初始地址 [A 23 -A 1 ] 中, 且 A 0 = 1 在执行 AAI 字编程指令之前, 必须驱动为高电平 在输入下一个有效命令之前, 用户必须检查 BUSY 状态 一旦器件指示不再忙, 就可以对下两个连续地址的数据进行编程等操作 当输入最后一个所需字节后, 通过硬件方法或 RDSR 指令检查忙状态并执行写禁止 (WRDI) 指令 04H 来终止 AAI 在执行 WRDI 指令之后检查 BUSY 状态, 以确定器件是否准备好接收任何命令 有关 AAI 字编程序列, 请参见图 11 和 12 在 AAI 编程期间不存在回绕模式 ; 达到最高的未受保护存储器地址之后, 器件将退出 AAI 操作并复位写使能锁存器位 (WEL = 0) 和 AAI 位 (AAI = 0) 15

16 写操作结束检测在 AAI 字编程期间, 可以通过三种方式确定编程周期是否完成 : 通过读取串行输出进行硬件检测 通过轮询软件状态寄存器中的 BUSY 位进行软件检测或等待 T BP 的时间 硬件写操作结束检测硬件写操作结束检测方法可以消除在 AAI 字编程操作期间轮询软件状态寄存器中的 BUSY 位所产生的开销 8 位命令 70H 用于配置串行输出 () 引脚, 以在 AAI 字编程期间指示闪存忙状态, 如图 9 所示 必须在执行 AAI 字编程指令之前执行 8 位命令 70H 内部编程操作开始后, 使能 会立即将内部闪存状态驱动到 引脚上 0 表示器件忙, 1 表示器件准备好执行下一条指令 取消使能 将使 引脚恢复到三态 8 位命令 80H 用于禁止串行输出 () 引脚在 AAI 字编程操作期间输出忙状态, 并将 重新配置为输出引脚 在该状态下, 引脚将用作正常串行输出引脚 此时, RDSR 命令可以轮询软件状态寄存器的状态 如图 10 所示 F09.0 图 9: 在 AAI 编程期间使能 作为硬件 RY/BY# F10.0 图 10: 在 AAI 编程期间禁止 作为硬件 RY/BY# 16

17 W REN AD A A A D0 D1 AD D2 D3 AD D n-1 D n WRDI RDSR AAI2 2 AAI WRDI D OUT 1328 F11.1 注 : AAI 编程期间的有效命令 :AAI 命令或 WRDI 命令 用户必须配置 引脚以在 AAI 编程期间输出闪存忙状态 图 11: 使用硬件写操作结束检测时的自动地址递增 (AAI) 字编程序列 W REN AD A A A D0 D1 AD D2 D3 AD D n-1 D n WRDI RDSR AAI2 2 AAI WRDI D OUT 注 : AAI 编程期间的有效命令 :AAI 命令 读取状态寄存器命令或 WRDI 命令 1328 F12.1 图 12: 使用软件写操作结束检测时的自动地址递增 (AAI) 字编程序列 17

18 扇区擦除 扇区擦除指令会将所选 4 KB 扇区中的所有位清除为 FFH 应用到受保护存储器区域的扇区擦除指令将被忽略 在执行任何写操作之前, 必须先执行写使能 (WREN) 指令 在任何命令序列的持续时间内, 都必须保持有效低电平 通过执行 8 位命令 20H ( 后面紧跟地址位 [A 23 -A 0 ]) 来启动扇区擦除指令 地址位 [A MS -A 12 ] (A MS = 地址最高位 ) 用于确定扇区地址 (SA X ), 其余地址位可以是 V IL 或 V IH 在执行指令之前, 必须驱动为高电平 用户可以轮询软件状态寄存器中的 BUSY 位, 或等待 T SE 时间让内部自定时扇区擦除周期完成 有关扇区擦除序列, 请参见图 F13.0 图 13: 扇区擦除序列 32 KB 块擦除 块擦除指令会将所选 32 KB 块中的所有位清除为 FFH 应用到受保护存储器区域的块擦除指令将被忽略 在执行任何写操作之前, 必须先执行写使能 (WREN) 指令 在任何命令序列的持续时间内, 都必须保持有效低电平 通过执行 8 位命令 52H ( 后面紧跟地址位 [A 23 -A 0 ]) 来启动块擦除指令 地址位 [A MS -A 15 ] (A MS = 地址最高位 ) 用于确定块地址 (BA X ), 其余地址位可以是 V IL 或 V IH 在执行指令之前, 必须驱动为高电平 轮询软件状态寄存器中的 BUSY 位, 或等待 T BE 时间让内部自定时块擦除完成 有关块擦除序列, 请参见图 F14.0 图 14: 32 KB 块擦除序列 18

19 SST25WF020 和 SST25WF040 的 64 KB 块擦除 块擦除指令会将所选 64 KB 块中的所有位清除为 FFH 应用到受保护存储器区域的块擦除指令将被忽略 在执行任何写操作之前, 必须先执行写使能 (WREN) 指令 在任何命令序列的持续时间内, 都必须保持有效低电平 通过执行 8 位命令 D8H ( 后面紧跟地址位 [A 23 -A 0 ]) 来启动块擦除指令 地址位 [A MS -A 16 ] (A MS = 地址最高位 ) 用于确定块地址 (BA X ), 其余地址位可以是 V IL 或 V IH 在执行指令之前, 必须驱动为高电平 可以轮询软件状态寄存器中的 BUSY 位, 或等待 T BE 时间让内部自定时块擦除完成 有关块擦除序列, 请参见图 D F15.0 图 15: 64 KB 块擦除序列 全片擦除 全片擦除指令会将器件中的所有位清除为 FFH 如果有任何存储器区域受到保护, 全片擦除指令将被忽略 在执行任何写操作之前, 必须先执行写使能 (WREN) 指令 在全片擦除指令序列的持续时间内, 必须保持有效低电平 通过执行 8 位命令 60H 或 C7H 来启动全片擦除指令 在执行指令之前, 必须驱动为高电平 用户可以轮询软件状态寄存器中的 BUSY 位, 或等待 T CE 时间让内部自定时全片擦除周期完成 有关全片擦除序列, 请参见图 C F16.0 图 16: 全片擦除序列 19

20 读取状态寄存器 (RDSR) 读取状态寄存器 (RDSR) 指令 05H 允许读取状态寄存器 可在任何时间读取状态寄存器, 甚至是在写 ( 编程 / 擦除 ) 操作期间 当进行写操作时, 应在发送任何新命令前检查 BUSY 位, 以确保新命令会被器件正确接收 在输入 RDSR 指令之前, 必须驱动为低电平, 并保持低电平直到状态数据被读取为止 读状态寄存器继续使用当前时钟周期, 直到被 上低电平到高电平的转换终止 有关 RDSR 指令序列, 请参见图 Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 MSb 1327 F17.0 图 17: 读取状态寄存器 (RDSR) 序列 写使能 (WREN) 写使能 (WREN) 指令 06H 可将状态寄存器中的写使能锁存器位设置为 1, 以允许进行写操作 在执行任何写 ( 编程 / 擦除 ) 操作之前, 都必须先执行 WREN 指令 WREN 指令也可用于允许执行写状态寄存器 (WRSR) 指令 ; 但是, 状态寄存器中的写使能锁存器位将在 WRSR 指令的 上升沿被清零 在执行 WREN 指令之前, 必须驱动为高电平 有关 WREN 指令序列, 请参见图 F18.0 图 18: 写使能 (WREN) 序列 20

21 写禁止 (WRDI) 写禁止 (WRDI) 指令 04H 将写使能锁存器位和 AAI 复位为 0, 以禁止任何新的写操作发生 WRDI 指令不会终止任何正在进行的编程操作 执行 WRDI 指令之后, 所有正在进行的编程操作都可以持续到 T BP 在执行 WRDI 指令之前, 必须驱动为高电平 有关 WRDI 指令序列, 请参见图 Fx19.0 图 19: 写禁止 (WRDI) 序列 使能写状态寄存器 (EWSR) 使能写状态寄存器 (EWSR) 指令使能了写状态寄存器 (WRSR) 指令, 可打开状态寄存器进行修改 在执行使能写状态寄存器指令之后, 必须立即执行写状态寄存器指令 EWSR 指令后面紧跟 WRSR 指令, 这个两步指令序列与 SDP ( 软件数据保护 ) 命令结构的工作方式类似, SDP 命令结构可防止状态寄存器值的意外修改 在输入 EWSR 指令之前, 必须驱动为低电平 ; 在执行 WRSR 指令之前, 必须驱动为高电平 有关 EWSR 指令以及后面紧跟的 WRSR 指令, 请参见图 20 写状态寄存器 (WRSR) 写状态寄存器指令将新值写入状态寄存器的 BP1 BP0 和 BPL 位 在输入 WRSR 指令的命令序列之前, 必须驱动为低电平 ; 在执行 WRSR 指令之前, 必须驱动为高电平 有关 EWSR 或 WREN 和 WRSR 指令序列, 请参见图 20 当 WP# 为低电平且 BPL 位置 1 时, 执行写状态寄存器指令将被忽略 当 WP# 为低电平时, 只能将 BPL 位从 0 设置为 1 以锁定状态寄存器, 但是不能将其从 1 复位到 0 当 WP# 为高电平时, BPL 位的锁定功能被禁止, 并且状态寄存器中的 BPL BP0 和 BP1 位都可以更改 只要在 WRSR 指令结束时的 引脚低电平到高电平的转换之前将 BPL 位置 0 或将 WP# 引脚驱动为高电平 (V IH ), 就可以通过 WRSR 指令修改状态寄存器中的所有位 在这种情况下, 单个 WRSR 指令即可将 BPL 位置 1 来锁定状态寄存器, 同时修改 BP0 和 BP1 位 有关 WP# 和 BPL 功能的概述, 请参见表 3 21

22 F20.0 图 20: 使能写状态寄存器 (EWSR) 或写使能 (WREN) 和写状态寄存器 (WRSR) 序列 使能保持 (EHLD) 8 位命令 AAH( 使能保持指令 ) 用于使能 RST#/HOLD# 引脚的 HOLD 功能 在使能保持指令序列的持续时间内, 必须保持有效低电平 在执行指令之前, 必须驱动为高电平 有关使能保持指令序列, 请参见图 AA 1328 F21.0 图 21: 使能保持序列 22

23 读 ID 读 ID 指令将制造商标识为 SST, 将器件标识为 SST25WF512/010/020/040 在同一个插座中使用多个制造商生产的器件时, 使用读 ID 指令标识 SST 器件 请参见表 11 通过执行 8 位命令 90H 或 ABH ( 后面紧跟地址位 [A 23 -A 0 ]) 来读取器件信息 执行读 ID 指令之后, 制造商 ID 位于地址 H, 器件 ID 位于地址 H 器件处于读 ID 模式之后, 制造商 ID 和器件 ID 输出数据在地址 H 和 H 之间翻转, 直到被 上低电平到高电平的转换终止 表 11: 产品标识 地址 数据 制造商 ID H BFH 器件 ID SST25WF H 01H SST25WF H 02H SST25WF H 03H SST25WF H 04H T AB BF ID BF ID 1328 F22.0 注 : 制造商和器件 ID 输出流一直持续到被 上低电平到高电平的转换终止为止 00H 将先输出制造商 ID, 01H 将先输出器件 ID, 然后在两者之间翻转 图 22: 读 ID 序列 23

24 JEDEC 读 ID JEDEC 读 ID 指令将器件标识为 SST25WF512/010/020/040, 将制造商标识为 SST 可通过执行 8 位命令 9FH 来读取器件信息 执行 JEDEC 读 ID 指令之后, 将从器件输出 8 位制造商 ID(BFH) 然后, 16 位器件 ID 在 引脚上移出 器件 ID 由制造商分配, 第一个字节包含存储器类型, 第二个字节包含器件的存储器容量 有关指令序列, 请参见图 23 在数据输出期间的任何时候, 上低电平到高电平的转换都将终止 JEDEC 读 ID 指令 F 注 : 有关器件 ID 字节 3 的值, 请参见表 12 BF F23.0 图 23: JEDEC 读 ID 序列 表 12: SST25WF512 的 JEDEC 读 ID 数据输出 器件 ID 产品 制造商 ID ( 字节 1) 存储器类型 ( 字节 2) 存储器容量 ( 字节 3) SST25WF512 BFH 25H 01H SST25WF010 BFH 25H 02H SST25WF020 BFH 25H 03H SST25WF040 BFH 25H 04H T

25 电气规范 绝对最大极限参数 ( 如果器件工作条件超过下述 绝对最大极限参数, 可能会对器件造成永久性损坏 这仅是极限参数, 我们不建议器件工作在极限值甚至超过下述极限值 工作于绝对最大极限参数条件下时, 器件可靠性可能会受到影响 ) 偏置时的温度范围 C 至 +125 C 存储温度范围 C 至 +150 C 任意引脚上相对于地的直流电压 V 至 V DD +0.5V 任意引脚上相对于地的瞬态电压 (<20 ns) v 至 V DD +2.0V 封装功率耗散能力 (T A = 25 C) W 表面贴装回流焊温度 C (10 秒 ) 输出短路电流 ma 1. 输出短路时间不超过 1 秒 每次短路的输出不超过 1 个 表 13: 工作范围范围 环境温度 V DD 工业级 -40 C 至 +85 C V 工业级 ( 扩展 ) 1-40 C 至 +105 C V 1. 有关可用的扩展工业级温度器件的信息, 请联系 SST 销售部门 T 表 14: 交流测试条件 输入上升 / 下降时间 输出负载 5 ns C L = 30 pf T

26 上电规范 所有功能和直流规范值均针对 V DD 斜升速率高于 1V/100 ms (0V 升至 1.8V 时间小于 180 ms) 的情形而规定 如果 V DD 斜升速率低于 1V/100 µs, 则需要硬件复位 从 V DD 上电到 RESET# 为高电平的时间建议应大于 100 µs, 以确保正确复位 更多信息, 请参见表 15 以及图 24 和 25 表 15: 建议的系统上电时序 符号 参数 最小值 单位 T 1 PU-READ 读操作的 V DD 最小值 100 µs T PU-WRITE 1 写操作的 V DD 最小值 100 µs 1. 该参数仅在初步认证时进行过测量, 其后经过可能影响该参数的设计或工艺变更 T T PU-READ V DD V DD 0V RESET# V IH T RECR 注 : 有关 T RECR 参数, 请参见第 6 页上的表 F37.1 图 24: 上电复位图 26

27 V DD V DD V DD T PU-READ T PU-WRITE 1326 F27.0 图 25: 上电时序图 27

28 直流特性 表 16: 直流工作特性 限制值 符号 参数 最小值 典型值 1 最大值 单位 测试条件 I DDR 读电流 2 5 ma =0.1 V DD /0.9 V MHz, = 开路 I DDR2 读电流 4 10 ma =0.1 V DD /0.9 V MHz, = 开路 I DDW 编程和擦除电流 6 10 ma =V DD I SB 待机电流 2 8 µa =V DD, V IN =V DD 或 V SS I LI 输入泄漏电流 1 µa V IN =GND 至 V DD, V DD =V DD 最大值 I LO 输出泄漏电流 1 µa V OUT =GND 至 V DD, V DD =V DD 最大值 V IL 输入低电压 0.3 V V DD =V DD 最小值 V IH 输入高电压 0.7 V DD V V DD =V DD 最大值 V OL 输出低电压 0.2 V I OL =100 µa, V DD =V DD 最小值 V OH 输出高电压 V DD -0.2 V I OH =-100 µa, V DD =V DD 最小值 1. 特性值, 未经过完全生产测试 表 17: 电容 (T A = 25 C, f = 1 MHz, 其他引脚开路 ) 参数 说明 测试条件 最大值 C 1 OUT 输出引脚电容 V OUT = 0V 12 pf C IN 1 输入电容 V IN = 0V 6 pf 1. 该参数仅在初步认证时进行过测量, 其后经过可能影响该参数的设计或工艺变更 表 18: 可靠性特性 符号参数最低规范单位测试方法 N 1 END T 1 DR I 1 LTH 可擦写次数 100,000 次 JEDEC 标准 A117 数据保存时间 100 年 JEDEC 标准 A103 闩锁 I DD ma JEDEC 标准 该参数仅在初步认证时进行过测量, 其后经过可能影响该参数的设计或工艺变更 T T T

29 交流特性 表 19: 交流工作特性 限值 - 20 MHz 限值 - 40 MHz 符号 参数 最小值 最大值 最小值 最大值 单位 F 1 CLK 串行时钟频率 MHz T H 串行时钟高电平时间 ns T L 串行时钟低电平时间 ns T R 串行时钟上升时间 5 5 ns T F 串行时钟下降时间 5 5 ns T 2 CES T 2 CEH T 2 CHS T 2 CHH 有效建立时间 20 8 ns 有效保持时间 20 8 ns 非有效建立时间 ns 非有效保持时间 ns T CPH 高电平时间 ns T CHZ 高电平至输出高阻态 ns T CLZ 低电平至输出低阻态 0 0 ns T DS 数据输入建立时间 5 2 ns T DH 数据输入保持时间 5 5 ns T HLS HOLD# 低电平建立时间 10 8 ns T HHS HOLD# 高电平建立时间 10 8 ns T HLH HOLD# 低电平保持时间 ns T HHH HOLD# 高电平保持时间 ns T HZ HOLD# 低电平至输出高阻态 ns T LZ HOLD# 高电平至输出低阻态 ns T OH 从 变化开始输出保持的时间 0 0 ns T V 从 开始到输出有效的时间 20 9 ns T SE 扇区擦除 ms T BE 块擦除 ms T SCE 全片擦除 ms T BP 3 字节编程 µs 1. 读指令 03H 的最大时钟频率为 20 MHz 2. 相对于 3. AAI 字编程 T BP 最大值规范也是 60 µs 的最大时间 T

30 T CPH T CHH T CES T CEH T CHS T DS T DH T R T F LSB 1326 F24.0 图 26: 串行输入时序图 T H T L T CLZ T OH T CHZ LSB T V 1328 F25.0 图 27: 串行输出时序图 30

31 T HHH T HLS T HHS T HLH T HZ T LZ HOLD# 1328 F26.0 图 28: 保持时序图 V IHT V HT V HT V LT V LT V ILT 1326 F28.0 对于逻辑 1, 交流测试输入驱动为 V IHT (0.9V DD ); 对于逻辑 0, 驱动为 V ILT (0.1V DD ) 输入和输出的测量参考点为 V HT (0.6V DD ) 和 V LT (0.4V DD ) 输入上升和下降时间 (10% 90%) 为 <5 ns 注 : V HT - V HIGH 测试 V LT - V LOW 测试 V IHT - V INPUT 高电平测试 V ILT - V INPUT 低电平测试 图 29: 交流输入 / 输出参考波形 31

32 产品订购信息 SST 25 WF I - QAE XX XX XXX - XX - XX - XXX 环保特性 E 1 = 无铅 F 2 = 无铅 / 无锡触点 ( 引脚 ) 加工 : 镀镍层顶层 ( 外层 ) 镀金 封装说明符 A = 8 引脚封装类型 Q = WN S = IC ( 主体 150 mil 宽 ) 温度范围 I = 工业级 = -40 C 至 +85 C 最低可擦写次数 5 = 100,000 次工作频率 40 = 40 MHz 器件容量 512 = 512 Kb 010 = 1 Mb 020 = 2 Mb 040 = 4 Mb 电压 W = V 产品系列 25 = 串行外设接口闪存 1. 环保后缀 E 代表无铅锡焊 SST 无铅锡焊器件均符合 RoHS 标准 2. 环保后缀 F 代表无铅 / 无锡锡焊 SST 无铅 / 无锡锡焊器件均符合 RoHS 标准 32

33 SST25WF512 的有效组合 SST25WF I-SAF SST25WF010 的有效组合 SST25WF I-SAF SST25WF020 的有效组合 SST25WF I-SAF SST25WF040 的有效组合 SST25WF I-SAF SST25WF I-QAE SST25WF I-QAE 注 : 有效组合是已量产或即将投入量产的产品 要确认有效组合的供应情况, 以及确认新组合的供应情况, 请咨询您的 SST 销售代表 33

34 封装图 Pin #1 Identifier TOP VIEW DE VIEW 7 4 places BSC END VIEW Note: 1. Complies with JEDEC publication 95 MS-012 AA dimensions, although some dimensions may be more stringent. 2. All linear dimensions are in millimeters (max/min). 3. Coplanarity: 0.1 mm 4. Maximum allowable mold flash is 0.15 mm at the package ends and 0.25 mm between leads places 08-soic-5x6-SA-8 1mm 图 30: 8 引脚小外形集成电路 (IC) SST 封装代码 :SA 34

35 Pin #1 Corner TOP VIEW DE VIEW 0.2 BOTTOM VIEW Pin # BSC 5.00 ± ± Note: 1. All linear dimensions are in millimeters (max/min). 2. Untoleranced dimensions (shown with box surround) are nominal target dimensions. 3. The external paddle is electrically connected to the die back-side and possibly to certain V SS leads. This paddle can be soldered to the PC board; it is suggested to connect this paddle to the V SS of the unit. Connection of this paddle to any other voltage potential can result in shorts and/or electrical malfunction of the device Max 1mm CROSS SECTION wson-5x6-QA-9.0 图 31: 8 触点超薄型小外形无引脚 (WN) SST 封装代码 :QA 表 20: 版本历史 编号 说明日期 00 的初始版本 2006 年 11 月 01 删除了 商业级 温度范围 2007 年 2 月 在第 9 页上的 块保护 (BP2 BP1 和 BP0) 的表 6 和 7 中添加了参考 在表 9 和 10 中, 修改了 EWSR 和 WREN 脚注信息, 并更新了 EBSY 操作码周期 修改了第 11 页上的 指令 第一段的措辞 更新了第 15 页上的 字节编程 在第 16 页上的 硬件写操作结束检测 的第二段阐明了 引脚说明 在第 25 页上的 电气规范 的 工作范围 中增加了工业级 ( 扩展 ) 值 增加了第 26 页上的 上电规范 在第 28 页上的表 16 中增加了典型值 在第 32 页上的 产品订购信息 中增加了触点引脚组成, 将最低可擦写次数从 10,000 次更新到 100,000 次, 并更改了产品有效组合 35

36 表 20: 版本历史 ( 续 ) 编号 说明日期 02 在本中增加了 SST25WF040 产品 2007 年 8 月 将图 21 中的 01/02/03 更改为字节 3 并修改了注释 更新了表 16 中的 I LO 和 I LI 值 更新了表 19 中的 T CPH 值 03 更正了所有标题打字错误 2007 年 10 月 修改了第 10 页上的表 8 04 更新了第 1 页 特性 中和第 25 页表 16 中的功耗规范 2008 年 5 月 将 特性 中的待机电流更改为 2 µa 编辑了第 28 页上的表 16 直流工作特性 I DDR2 的典型值为 4 ma, I SB 的典型值 / 最大值为 2/8 µa 05 将文档状态从 规范初稿 更改为 2008 年 8 月 06 增加了关于 QAE 封装的信息 2009 年 8 月 07 修改了第 25 页上的表 13 工作范围 的脚注 2009 年 9 月 08 增加了有效组合 SST25WF I-QAE 2009 年 11 月 A 采用了新的文档格式 2011 年 6 月 根据字母版本体系发布了文档 将规范 S71328 更新为 DS25016 ISBN: Silicon Storage Technology, Inc. (Microchip Technology Inc. 的全资子公司 ) 版权所有 SST Silicon Storage Technology SST 徽标 SuperFlash MTP 和 FlashFlex 均为 Silicon Storage Technology, Inc. 的注册商标 MPF SQI Serial Quad I/O 和 Z-Scale 均为 Silicon Storage Technology, Inc. 的商标 在此提及的所有其他商标和注册商标均为各持有公司所有 规范值可能发生变更, 恕不另行通知 关于最新文档, 请访问 最新封装图请至 查看封装规范 存储器容量表示原始存储容量, 实际可用容量可能会少一些 除非在标准销售条款与条件中明确包含, 否则 SST 不对其产品的使用作出任何担保 关于销售办事处的地点和信息, 请访问 Silicon Storage Technology, Inc. 36

LP12-A-DS.fm

LP12-A-DS.fm 是基于高度可靠的 InGaP/GaAs HBT 技术的高功率及高增益功率放大器 该器件可针对高功率及高效率应用进行配置, 当工作在用于美国 欧洲和日本市场的整个 802.11a 频带 (4.9-5.9 GHz) 时具有良好的功率附加效率 该功率放大器 IC 提供了简单的电路板级用法以及高速上电 / 掉电控制, 提供 16 触点 WQFN 封装 特性 高增益 高线性输出功率 : 在整个频带范围内, 功率高达

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

LF03-B-DS.fm

LF03-B-DS.fm 是适用于 WLAN 802.11b/g/n 和 Bluetooth 系统的全集成前端模块 (Front-End Module, FEM) RF 模块包括一个功率放大器 (Power Amplifier, PA) 一个低噪声放大器 (Low Noise Amplifier, LNA) 和一个天线开关, 是需要小尺寸和高性能的 WLAN/BT 嵌入式应用的理想选择 专为 IEEE 802.11b/g/n

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

untitled

untitled C 8051 MCU SPI EEPROM Alexandru Valeanu Microchip Technology Inc. Microchip Technology 25XXX EEPROM SPI 25XXX EEPROM SO 25XXX EEPROM 3MHz 20 MHz SPI HOLD 25XXX EEPROM EEPROM MCU HOLD 25XXX EEPROM SPI EEPROM

More information

ISO h.PDF

ISO h.PDF 1 2 ID-1 IC IC 2 GB/T 14916 1994 - GB/T 16649.1 1996 - - 1 : GB/T 16649.2 1996 - - 2 : GB/T 16649.3 1996 - - 3 : ISO/IEC 7816 4 1995 - - 4 : ISO/IEC 7816 5 199 5 - - 5 :. 3 i 3 4 5 data cold reset 6 4

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

Ps22Pdf

Ps22Pdf / : : : / : ISBN 7-81055 - 930-7 / F 313 : 5. 00 : 2006 4 1 CIP ( 2006) 045879 ;, ;, ( ), :,, :, ;, ; 1 , ; :,, :,,,??,? ;,?,??,? 2 ? ;,,,! ;, ;,, ;,,, : ;,, 3 ?,,,,,,, ;,, 4 , ;, ;!, ;,, 5 , :, :, - :?

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

00,, 1 2 3 4 5 1 2 3 3 6 1 1 2 3 1 2 2 3 4 5 1 3 2 3 1 4 2 3 1 2 3 5 4 5 6 7 8 1 6 2 3 4 1 2 1 2 () 15 BUG BUG BUG BUG BUG BUG BUG BUG 27 ( 00 ) ( 00 ) 4.1 4.2 4.3 SST MB 101-01 4.1.1 4.2.1 4.2.1

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8

! *!#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( / )! ( ) 3SB3! Ø22mm!# ( / ) 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( ) 7, 10 7, 9 7, 8 SIRIUS 3SB3 sirius s ! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8! (2 /3 ) ( / ) RONIS! ( SB) CES

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

SIGNUM 3SB3

SIGNUM 3SB3 SGNUM * 6, 8 6, 8 6, 8 8 : : : : ( ) Ø22mm 6, 8 6, 8 6, 8 8 : : : : ( ) 7, 10 7, 9 7, 8 : (2 /3 ) RNS ( SB) : : CES / BKS : ( / ) 10 7, 8 : (2 /3 ) RNS ( 360012K1) : : MR : 7 Ø22mm 16 16 16 16 : : : :

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

于 红 色 区 域,0 篇 处 于 橙 色 区 域,8 篇 处 于 黄 色 区 域,3 篇 处 于 蓝 色 区 域 : 新 財 富 舆 情 研 究 中 心 表 热 点 事 件 排 行 榜 代 码 公 司 事 件 发 表 媒 体 事 件 属 性 新 热 度 600860 北 人 股 份 审 核 过 会

于 红 色 区 域,0 篇 处 于 橙 色 区 域,8 篇 处 于 黄 色 区 域,3 篇 处 于 蓝 色 区 域 : 新 財 富 舆 情 研 究 中 心 表 热 点 事 件 排 行 榜 代 码 公 司 事 件 发 表 媒 体 事 件 属 性 新 热 度 600860 北 人 股 份 审 核 过 会 房 产 税 试 点 两 年 初 见 成 效 审 核 过 会 却 遭 立 案 稽 查 北 人 股 份 重 组 突 生 变 故 03 年 月 8 日 证 券 市 场 舆 情 日 报 一 数 据 统 计 据 新 财 富 上 市 公 司 舆 情 监 控 终 端 显 示, 月 7 日 至 8 日 热 点 财 经 新 中, 房 产 税 试 点 两 年 初 见 成 效 以 新 热 度 96.4 位 列 财 经 新

More information

Microsoft Word - cat1163.doc

Microsoft Word - cat1163.doc 带 I 2 C 串行 CMOS EEPROM, 精密复位控制器和看门狗定时器的监 控电路 -CAT1163(16k) 特性 看门狗定时器输入 (WDI) 兼容 400KHz 的 I 2 C 总线 操作电压范围为 2.7V~6.0V 低功耗 CMOS 技术 16 字节的页写缓冲区 内置误写保护电路 Vcc 锁定 写保护管脚 WP 复位高电平或低电平有效 精确的电源电压监控 支持 5V,3.3V 和 3V

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

Microsoft Word - GT21L16S2Y简要说明V37.doc

Microsoft Word - GT21L16S2Y简要说明V37.doc GT21L16S2Y 标准汉字字库芯片 简要说明 BRIEF 字型 :11X12 点阵 15X16 点阵 字符集 :G312 兼容 Unicode 内码 排置方式 : 竖置横排 总线接口 :SPI 串行总线 芯片形式 :SO8 封装 VER 3.7 2012-2 集通数码科技 - 1 - 版本修订记录 GT21L16S2Y 标准点阵汉字字库芯片简要说明 版本号 修改内容 日期 备注 V35 1. 15*16

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

CM ZT1

CM ZT1 Spansion 模拟和微控制器产品 本文档包含有关 Spansion 模拟和微控制器产品的信息 尽管本文档内有原来开发该产品规格的公司名称 富士通 或 Fujitsu, 该产品将由 Spansion 提供给现有客户和新客户 规格的延续本文档内容并不因产品供应商的改变而有任何修改 文档内容的其他更新, 均为改善文档而进行, 并已记录在文档更改摘要 日后如有需要更改文档, 其更改内容也将记录在文档更改摘要

More information

Microsoft Word - VL880manual-v88313-tc3.doc

Microsoft Word - VL880manual-v88313-tc3.doc VL880 Embedded Voice Mail System 語 音 信 箱 系 統 操 作 手 冊 09VL88V3-V88313-TC3 DSG DSG 標 誌 VL880 為 德 士 通 科 技 股 份 有 限 公 司 之 商 標 Windows Outlook Outlook Express 為 微 軟 公 司 所 有 其 他 商 標 各 為 其 所 屬 公 司 所 有 Copyright

More information

一、 概述

一、 概述 概述 FZH119 是一种 LED( 发光二极管显示器 ) 驱动控制与用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动等电路 本产品性能优良, 质量可靠 主要应用于电子产品 LED 显示屏驱动 采用 SOP28 的封装形式 特性说明 采用 CMOS 工艺 显示模式 (8 段 16 位 ) 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (SCLK,DIN) 振荡方式 : 内置

More information

Ba ba zang li shang de ma ma 在 这 个 海 边 的 小 城 市 里, 天 空 喜 欢 下 雨 尤 其 是 空 气 湿 润 的 五 月, 家 家 户 户 的 阳 台 上 总 是 腻 着 一 层 肮 脏 的 黏 液, 汪 出 一 种 令 人 不 爽 的 光 亮 霉 菌 这

Ba ba zang li shang de ma ma 在 这 个 海 边 的 小 城 市 里, 天 空 喜 欢 下 雨 尤 其 是 空 气 湿 润 的 五 月, 家 家 户 户 的 阳 台 上 总 是 腻 着 一 层 肮 脏 的 黏 液, 汪 出 一 种 令 人 不 爽 的 光 亮 霉 菌 这 今 天 我 是 升 旗 手 爱 心 教 育 系 列 目 录 1 爸 爸 葬 礼 上 的 妈 妈 001 2 亲 爱 的 主 持 人 021 3 亲 戚 们 048 4 救 救 朋 友 062 5 暑 假 有 一 点 无 聊 076 6 姨 父 打 进 了 热 线 电 话 094 7 你 不 是 我 想 要 的 那 个 人 111 8 被 海 水 包 围 的 鱼 129 9 妈 妈 怎 么 会 下 岗

More information

nooog

nooog ( CIP ) /. :, 2006.12 ISBN 978-7 - 5380-1477 - 8....R486 CIP ( 2006 ) 094867 / / 4 / (0476) 8224848 8231924 / 024000 / / / / 400 / 880 1230 1/ 32 / 17 / 2007 1 1 / 2007 1 1 / 28 978-7 - 5380-1477 - 8 R

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流源, 可以在每个输出端口提供 1mA 32mA 的恒定电 流 ; 且单颗 IC 片内输出电流差异小于 ±2.5%; 多颗 IC 间的输出电流差异小于 ±3.5%; 通道输出电流不随着输

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 The BitCoin Scripting Language 交易实例 交易结构 "result": { "txid": "921a dd24", "hash": "921a dd24", "version": 1, "size": 226, "locktime": 0, "vin": [ ], "vout": [ ], "blockhash": "0000000000000000002c510d

More information

01018A.book

01018A.book 使用 C18 编译器进行 SPI 串行 EEPROM 与 PIC18 单片机的接口设计 作者 : 引言 Chris Parris Microchip Technology Inc. Microchip Technology 生产的 25XXX 系列串行 EEPROM 兼容 SPI 通信标准, 最大时钟频率范围从 3MHz 到 20 MHz 许多时候, 在设计利用串行 EEPROM 器件的应用时, 使用非专用

More information

00 sirius 3R SIRIUS 3R 3RV1 0A 1 3RT1 3RH1 3 3RU11/3RB SIRIUS SIRIUS TC= / 3RV1 A 1 IEC6097- IP0 ( IP00) 1/3 IEC6097- (VDE0660) DIN VDE 06 0 AC690V, I cu 00V 1) P A n I n I cu A kw A A ka S00 0.16 0.0

More information

MHE/4, : ( ) MSF. BSI 2

MHE/4, : ( ) MSF. BSI 2 BS 5900:1999 ICS91.140.90 1 MHE/4, : ( ) MSF. BSI 2 ii 1 1 2 1 3 1 4 3 5 4 6 4 7 7 8 9 9 9 10 9 11 10 12 12 13 12 14 12 15 15 16 18 17, 18 18 18 A( ) 19 B( ) 20 C ( ) 20 D ( ) 21 E ( ) 22 F( ) 27 G( )

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

ESP-PSRAM64_ESP-PSRAM64H_Datasheet__CN

ESP-PSRAM64_ESP-PSRAM64H_Datasheet__CN ESP-PSRAM64 & ESP-PSRAM64H 技术规格书 版本 1.0 版权 2018 关于本 文档 本 文档介绍了了 ESP-PSRAM64 和 ESP-PSRAM64H 的技术规格 发布说明 日期版本发布说明 2018.06 V1.0 首次发布 文档变更更通知 用户可通过乐鑫官 网订阅技术 文档变更更的电 子邮件通知 证书下载 用户可通过乐鑫官 网下载产品证书 目录 1. 简介... 1

More information

警告 Segway PT PT PT PT PT PT PT PT PT PT PT PT PT PT PT PT PT PT LeanSteer PT PT PT Segway PT PT PT / Segway PT PT / PT 1.5 5

警告 Segway PT PT   PT PT PT PT PT PT PT PT PT PT PT PT PT PT PT PT LeanSteer PT PT PT Segway PT PT PT / Segway PT PT / PT 1.5 5 用户手册 Segway Personal Transporter (PT) i2 SE x2 SE x2 SE Turf 警告 Segway PT PT www.segwaysafety.com PT PT PT PT PT PT PT PT PT PT PT PT PT PT PT PT LeanSteer PT PT PT Segway PT PT PT / Segway PT PT / PT

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

AN 502: Implementing an SMBus Controller in MAX II CPLDs

AN 502: Implementing an SMBus Controller in MAX II CPLDs 在 MAX II CPLD 中实现 SMBus 控制器 2007 年 12 月, 1.0 版 应用笔记 502 引言 SMBus 本文档介绍 Altera MAX II CPLD 中的系统管理总线 (SMBus) 控制器 通过实例展示了 MAX II CPLD 的通用性 SMBus 作为一种 I 2 C, 是两线接口, 各种系统组成都可以通过它来进行通信 在任何时候都只有一个器件能够控制总线, 和一个从机或者多个从机进行通信

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

MAX3205Erev1.DS.C

MAX3205Erev1.DS.C 9-336; Rev ; 0/04 ±5kV ESD, (TVS), ESD HDTV PC ( DVI TM HDMI TM ) PC (FireWire USB 2.0) (PCI Express TM,InfiniBand ), ESD, ESD IEC 6000-4-2 ±5kV ±8kV ±5kV ESD TVS ESD, 2pF, 0.05pF, MAX3207 E, USB. USB

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

<4D6963726F736F667420576F7264202D203936A455B0AAA447B4C1A5BDB8D5C344B5AAB5AAAED7A8F7>

<4D6963726F736F667420576F7264202D203936A455B0AAA447B4C1A5BDB8D5C344B5AAB5AAAED7A8F7> 臺 北 市 立 成 功 高 級 中 學 九 十 六 學 年 度 第 二 學 期 高 二 國 文 科 期 末 考 試 題 詳 解 卷 共 九 面 考 試 範 圍 一 翰 林 版 ( 四 ): 病 梅 館 記 垂 釣 睡 眠 宋 詩 選 夢 溪 筆 談 典 論 論 文 二 翰 林 版 補 充 教 材 ( 四 ): 宋 詩 選 與 吳 質 書 三 翰 林 版 語 文 練 習 ( 四 ): 病 梅 館 記

More information

1.加入党组织主要经过哪些程序?

1.加入党组织主要经过哪些程序? 内 部 资 料 注 意 保 存 厦 门 大 学 发 展 党 员 工 作 常 用 文 书 中 共 厦 门 大 学 委 员 会 组 织 部 编 印 2014 年 10 月 4 发 展 党 员 工 作 流 程 图 一 申 请 入 党 二 入 党 积 极 分 子 的 三 发 展 对 象 的 确 定 四 预 备 党 员 的 接 收 五 预 备 党 员 的 教 育 确 定 和 培 养 教 育 和 考 察 考 察

More information

untitled

untitled 2009 1 30 3 850 46 2 200861 5 2007 200862 12 2008194 14 2008195 20 2008196 21 2008197 22 2008116 25 2008269 28 1 2008 12 31 25 50% 1987 9 28 2 30 25 20 15 3 30 25 20 15 4 200861 2008 12 17 5 2009 2012

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

0 1!, 10,,,,,, ( 1) 1 ( ) ( ) ( ) ( ) 3. 3 0. 4 50. 4 1. 7 32. 7 1. 5 34. 3 1. 2 3. 2 0. 4 49. 8 1. 6 32. 6 1. 4 33. 9 1. 2, 5 8 3 4, 7 10, 600,

0 1!, 10,,,,,, ( 1) 1 ( ) ( ) ( ) ( ) 3. 3 0. 4 50. 4 1. 7 32. 7 1. 5 34. 3 1. 2 3. 2 0. 4 49. 8 1. 6 32. 6 1. 4 33. 9 1. 2, 5 8 3 4, 7 10, 600, 0 1 1 1 2 19 2 3 33 3 4 45 4 5 57 5 6 71 6 8 83 8 10 95 10 12 107 12 15 119 15 18 131 18 21 143 21 24 155 2 2 167 2 3 179 [ ] 191 0 1!, 10,,,,,, ( 1) 1 ( ) ( ) ( ) ( ) 3. 3 0. 4 50. 4 1. 7 32. 7 1. 5 34.

More information