版权声明 图 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号和

Size: px
Start display at page:

Download "版权声明 图 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号和"

Transcription

1 产品手册 嵌入式 Wi-Fi 模块 版本 :1.8 日期 : 编号 :DS0089CN 概 要 特性 支持 b/g/n 标准, 集 ARM9, WLAN MAC/Baseband/RF 于一体 包含 256KB RAM/ 2MB FLASH 配置 工作电压 :DC V 使用 20MHz 带宽时, 最大传输速率达到 72.2Mbps Wi-Fi 相关特性 支持 b/g/n 标准 支持 Station, Soft AP, Station+Soft AP 支持 EasyLink,Alink PCB 天线或 IPEX 天线可选 外设 : 2x UART 应用 : 智能照明 智能交通 智能家居 / 家电 工业自动化 智能安防模块型号模块类型 天线型号 说明 -P PCB 天线 默认 -E IPEX 天线 可选 硬件框图 2x I2C 1x SPI 1x SDIO 1x JTAG 15x GPIO EWM3060 硬件框图

2 版权声明 图 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号和

3 Datasheet [Page 1] 版本更新说明 日期版本更新内容 初始文档 完善引脚定义 引脚更新 更新射频参数, 规范 PIN MUX 命名, 完善功耗参数 更新射频参数 更新 Flash 大小, 硬件框图等 更新包装 MOQ 信息 增加 Pin 脚功能说明 增加镭雕信息说明, 更新模块照片

4 Datasheet [Page 2] 目录 概 要... 1 版本更新说明 产品简介... 4 标签信息... 5 引脚排列... 5 引脚定义 封装定义 引脚定义 电气参数... 9 工作条件... 9 功耗参数... 9 工作环境 静电放电 射频参数 基本射频参数 TX 性能 IEEE802.11b 模式发送特性 IEEE802.11g 模式发送特性 IEEE802.11n-HT 模式发送特性 RX 接收灵敏度 IEEE802.11b 模式 IEEE802.11g 模式 带宽 20MHz IEEE802.11n-HT 模式带宽 20MHz 天线信息 天线类型 PCB 天线净空区 外接天线连接器 总装信息及生产指导 总装尺寸图 生产指南 ( 请务必要仔细阅读 ) 注意事项 存储条件 二次回流温度曲线 参考电路 模块 MOQ 与包装信息 销售与技术支持信息... 26

5 Datasheet [Page 3] 图目录 图 1 DIP 封装尺寸图... 6 图 2 邮票孔封装尺寸图... 6 图 3 封装定义图... 7 图 4 -P 图 5 -E 图 6 PCB 天线最小净空区 ( 单位 :mm) 图 7 外接天线连接器尺寸图 图 8 三视图 ( 单位 :mm) 图 9 湿度卡 图 10 存储条件示意图 图 11 参考回流温度曲线 图 12 电源参考电路 图 13 USB 转串口参考电路 图 14 外部接口参考设计 图 V UART- 5V UART 转换电路 表目录 表 1 版本引脚定义... 7 表 2 输入电压范围... 9 表 3 电压绝对最大额定值... 9 表 4 功耗参数... 9 表 5 温湿度条件 表 6 静电释放参数 表 7 射频标准 表 8 IEEE802.11b 模式 CCK_11 发送特性参数 表 9 IEEE802.11g 模式 OFDM_54 发送特性参数 表 10 IEEE802.11n-HT 20MHz 模式 MCS7 发送特性 表 12 IEEE802.11b 20MHz 接收灵敏度 (dbm) 表 13 IEEE802.11g 20MHz 接收灵敏度 (dbm) 表 14 IEEE802.11n-HT20 接收灵敏度 (dbm)... 14

6 Datasheet [Page 4] 1. 产品简介 是上海庆科 (MXCHIP) 推出的高性价比嵌入式 W-Fi 模块, 高度集成 ARM9,WLAN MAC/Baseband/RF, 最高主频 120MHz, 内置 256KB SRAM,2MB FLASH,3.3V 单电源供电, LGA SMT 或插针两种安装方式, 外设 :2xUART / 1x SPI /2x I2C / Up to 15 GPIOs 下图是 模块的硬件框图, 主要包括四大部分 : ARM 9 主核 WLAN MAC/BB/RF/ANT 电源管理 丰富外设其中 : 1. ARM 9 CPU, 工作频率最大至 120MHz, 内部集成 256K SRAM,2MB FLASH, 支持高速 UART,I2C, SPI, 以及多个 GPIO 口 2. 2MB 的片内 Flash 用于客户固件定制开发 3. 支持 PCB 天线和 IPEX 外置天线 4. 输入典型电压 :DC 3.3V 硬件框图模块接口

7 Datasheet [Page 5] 标签信息 -P -E 标签信息 : -P / -E : 模块主型号 CMIIT ID:2017DP7096: SRRC 核准号 :MAC 地址 ( 每个模块有唯一的 MAC 地址 ) A209 : SN 串号 ( 出厂固件号 ) K1834: 生产批次 引脚排列 采用 DIP 封装和邮票孔封装两种接口设计方案,DIP 封装设计 ( 如图 2 所示 ) 有效减少二次贴片的质量风险 ; 邮票封装设计 ( 如图 3 所示 ) 方便客户调试, 易于拆装, 为客户设计提供多样性选择 阻焊开窗和焊盘大小一致,SMT 建议钢网厚度 0.12mm-0.14mm

8 Datasheet [Page 6] 图 1 DIP 封装尺寸图 图 2 邮票孔封装尺寸图

9 Datasheet [Page 7] 引脚定义 封装定义 图 3 封装定义图 引脚定义 表 1 版本引脚定义 引 脚 号 FUNCTION1 FUNCTION2 FUNCTION3 FUNCTION4 FUNCTION5 FUNCTION6 说明 1 NC 2 NC 3 VDDFLASH External 3.3V for programming Flash. 4 GPIO4 ADC1 5 FLASH_SI GPIO22 JTAG_TDI XHOUT(high frequency clock output)

10 Datasheet [Page 8] 6 FLASH_SO GPIO23 JTAG_TDO ADC3 7 FLASH_SCK GPIO20 I2C1_SCL JTAG_TCK 8 FLASH_CSN GPIO21 I2C1_SDA JTAG_TMS 9 UART2_TXD GPIO31 I2C2_SCL 10 UART2_RXD GPIO1 I2C2_SDA 11 CHIP_EN 芯片复位, 低电平 0 有效 12 SPI_CSN GPIO15 SD_CMD 13 SPI_MISO GPIO17 SD_DATA1 14 SPI_MOSI GPIO16 SD_DATA0 15 SPI_SCK GPIO14 SD_CLK 16 VDD 电源输入 17 GND 18 DIGTEST 烧录引脚, 高电平有效 19 UART2_TXD 20 USBDN GPIO30 USB data N / GPIO30 21 UART1_TX GIOP11 22 UART1_RX GPIO10 23 USBDP GPIO29 USB data P / GPIO29 24 UART1_TX GIOP11 25 UART1_RX GPIO10 说明 : (1)PIN3 与 PIN 4 与 PIN 18 引脚默认为烧录模式使用,PIN19 引脚为 BOOT 使用,PIN20 为 STATUS 引脚,PIN21/24 与 22/25 引脚为调试 log 信息输出使用,PIN23 为 ELINK 引脚, 硬件设计时请尽量不要使用, 若要使用请联系我司工程师确认 (2)PIN19 引脚上电时刻必须为 H 或者悬空, 请在设计电路时特别注意 (3)CHIP_EN 引脚如果不使用请保持悬空, 其他引脚不使用也保持悬空 (4) PIN5 具备高速时钟输出功能 (5)PIN1/2/24/25 均为过孔, 非焊盘引脚, 设计封装时请注意

11 Datasheet [Page 9] 2. 电气参数工作条件 在输入电压低于最低额定电压下会造成工作不稳定 电源设计时需要注意这点 表 2 输入电压范围 符号说明条件 详细 最小值典型值最大值单位 VDD 电源电压 V 模块超出绝对最大额定值工作会给硬件造成永久性伤害 同时, 长时间在最大额定值下工作会影响模 块的可靠性 表 3 电压绝对最大额定值 符号说明最小值典型值单位 VDD 模块电源输入电压 V VIN GPIO 引脚输入电压 V 功耗参数 表 4 功耗参数 状态平均电流 (3V3) 最大电流 (3V3) 描述 WIFI 初始化 关闭射频,MCU 全速 保持 WIFI 连接 保持连接路由器 UDP 发送 连接 AP 后, 全速 UDP 发包 SoftAP SoftAP 联网状态 Easylink 模块配网状态 Station MCU 全速, 开启射频 station 模式 说明 : 该测试数据在不同的固件版本下可能会不同, 最大工作电流约 363 ma

12 Datasheet [Page 10] 工作环境 表 5 温湿度条件 符号 名称 最大 单位 TSTG 存储温度 -40 to +125 TA 工作温度 -20 to +85 Humidity 非冷凝, 相对湿度 95 % 静电放电 表 6 静电释放参数 符号名称名称等级最大值单位位 VESD(HBM) VESD(CDM) 静电释放电压 ( 人体模型 ) 静电释放电压 ( 放电设备模型 ) TA= +25 C 遵守 JESD22-A TA = +25 C 遵守 JESD22-C101 II 500 V

13 Datasheet [Page 11] 3. 射频参数 基本射频参数 表 7 射频标准 项目 说明 工作频率 Wi-Fi 无线标准 2.412~2.484GHz IEEE802.11b/g/n 数据传输速率 天线类型 20MHz 11b:1,2,5.5 和 11Mbps 11g : 6,9,12,18,24,36,48,54Mbps 11n : MCS0~7,72.2Mbps PCB 天线 ( 默认 ) IPX 外接天线 ( 可选 ) TX 性能 IEEE802.11b 模式发送特性 表 8 IEEE802.11b 模式 CCK_11 发送特性参数 频道 输出功率 (dbm) EVM(dB) 频率偏移 (ppm) dBm -23.7dB -6.6ppm 2 17dBm -23.5dB -6.8ppm dBm -23.7dB -6.8ppm dBm -23.6dB -7.2ppm dBm -23.5dB -6.9ppm dBm -23.4dB -6.6ppm dBm -23.4dB -6.6ppm dBm -23.3dB -6.7ppm dBm -23.3dB -6.9ppm dBm -23.3dB -6.5ppm dBm -23.2dB -6.8ppm dBm -23.2dB -6.8ppm dBm -23.1dB -6.8ppm

14 Datasheet [Page 12] IEEE802.11g 模式发送特性 表 9 IEEE802.11g 模式 OFDM_54 发送特性参数 频道 输出功率 (dbm) EVM(dB) 频率偏移 (ppm) dBm -26.7dB -6.8ppm dBm -26.4dB -6.8ppm dBm -26.2dB -6.8ppm dBm -26dB -6.8ppm dBm -26.1dB -7.2ppm dBm -26.2dB -6.8ppm dBm -26dB -6.9ppm dBm -25.7dB -6.9ppm dBm -26.2dB -6.8ppm dBm -25.5dB -6.9ppm dBm -26dB -6.6ppm dBm -26.1dB -6.8ppm dBm -26.4dB -6.8ppm IEEE802.11n-HT 模式发送特性 表 10 IEEE802.11n-HT 20MHz 模式 MCS7 发送特性 频道 输出功率 (dbm) EVM(dB) 频率偏移 (ppm) dBm -28.1dB -6.3ppm dBm -28.6dB -6.9ppm dBm -28dB -6.3ppm dBm -27.6dB -7.2ppm dBm -27.6dB -7.3ppm dBm -27.5dB -6.6ppm dBm -27.6dB -6.9ppm dBm -27.7dB -6.9ppm dBm -27.8dB -6.4ppm dBm -27.2dB -6.9ppm dBm -27.4dB -7ppm dBm -27.8dB -7.3ppm dBm -27.8dB -6.9ppm

15 Datasheet [Page 13] RX 接收灵敏度 IEEE802.11b 模式 表 11 IEEE802.11b 20MHz 接收灵敏度 (dbm) 频道速率 11M IEEE spec 1-91dBm 2-91dBm 3-91dBm 4-91dBm 5-91dBm 6-90dBm 7 89dBm 8-90dBm 9-90dBm 10-90dBm 11-90dBm 12-90dBm 13-90dBm IEEE802.11g 模式带宽 20MHz 表 12 IEEE802.11g 20MHz 接收灵敏度 (dbm) 频道速率 54M IEEE spec 1-75dBm 2-75dBm 3-75dBm 4-75dBm 5-75dBm 6-74dBm 7-73dBm 8-73dBm

16 Datasheet [Page 14] 9-74dBm 10-75dBm 11-75dBm 12-75dBm 13-74dBm IEEE802.11n-HT 模式带宽 20MHz 表 13 IEEE802.11n-HT20 接收灵敏度 (dbm) 频道速率 MCS7 IEEE spec 1-71dBm 2-71dBm 3-70dBm 4-71dBm 5-70dBm 6-68dBm 7-68dBm 8-68dBm 9-68dBm 10-70dBm 11-70dBm 12-70dBm 13-70dBm

17 Datasheet [Page 15] 4. 天线信息 天线类型 有 PCB 天线和 IPX 天线两种规格, 型号为 -P 和 -E 图 4 -P 图 5 -E PCB 天线净空区 在 WIFI 模块上使用 PCB 天线时, 需要确保主板 PCB 和其它金属器件距离至少 16mm 以上 下图中阴 影部分标示区域需要远离金属器件 传感器 干扰源以及其它可能造成信号干扰的材料

18 Datasheet [Page 16] 图 6 PCB 天线最小净空区 ( 单位 :mm)

19 Datasheet [Page 17] 外接天线连接器 图 7 外接天线连接器尺寸图

20 Datasheet [Page 18] 5. 总装信息及生产指导 总装尺寸图 主视图 左视图 底视图 图 8 三视图 ( 单位 :mm)

21 生产指南 ( 请务必要仔细阅读 ) Datasheet [Page 19] 庆科出厂的邮票口封装模块必须由 SMT 机器贴片, 并且拆开包装烧录固件后 24 内必须贴片完成, 否则要重新抽真空包装, 贴片前要对模块进行烘烤 SMT 贴片需要仪器 (1) 回流焊贴片机 (2)AOI 检测仪 (3) 口径 6-8mm 吸嘴 烘烤需要设备 : (1) 柜式烘烤箱 (2) 防静电 耐高温托盘 (3) 防静电耐高温手套 庆科出厂的模块存储条件如下 ( 存储环境如 5.4 节图 11 所示 ): 防潮袋必须储存在温度 <30 C, 湿度 <85%RH 的环境中 干燥包装的产品, 其保质期应该是从包装密封之日起 6 个月的时间 密封包装内装有湿度指示卡 图 9 湿度卡 庆科出厂模块需要烘烤, 湿度指示卡及烘烤的几种情况如下所述 : 拆封时如果温湿度指示卡读值 30% 40% 50% 色环均为蓝色, 需要对模块进行持续烘烤 2 小时 ; 拆封时如果湿度指示卡读取到 30% 色环变为粉色, 需要对模块进行持续烘烤 4 小时 ; 拆封时如果湿度指示卡读取到 30% 40% 色环变为粉色, 需要对模块进行持续烘烤 6 小时 ;

22 Datasheet [Page 20] 拆封时如果湿度指示卡读取到 30% 40% 50% 色环均变为粉色, 需要对模块进行持续烘烤 12 小时. 烘烤参数如下 : 烘烤温度 :125 ±5 ; 报警温度设定为 130 ; 自然条件下冷却 <36 后, 即可以进行 SMT 贴片 ; 干燥次数 :1 次 ; 如果烘烤后超过 12 小时没有焊接, 请再次进行烘烤 如果拆封时间超过 3 个月, 禁止使用 SMT 工艺焊接此批次模块, 因为 PCB 沉金工艺, 超过 3 个月焊盘氧化严重,SMT 贴片时极有可能导致虚焊 漏焊, 由此带来的种种问题我司不承担相应责任 ; SMT 贴片前请对模块进行 ESD( 静电放电, 静电释放 ) 保护 ; 请根据回流焊曲线图进行 SMT 贴片, 峰值温度 245, 回流焊温度曲线如 5.5 节图 10 所示 ; 为了确保回流焊合格率, 首次贴片请抽取 10% 产品进行目测 AOI 检测, 以确保炉温控制 器件吸附方式 摆放方式的合理性 ; 之后的批量生产建议每小时抽取 5-10 片进行目测 AOI 测试 注意事项 在生产全程中各工位的操作人员必须戴静电手套 ; 烘烤时不能超过烘烤时间 ; 烘烤时严禁加入爆炸性 可燃性 腐蚀性物质 ; 烘烤时, 模块应用高温托盘放入烤箱中, 保持每片模块之间空气流通, 同时避免模块与烤箱内壁直接接触 ; 烘烤时请将烘烤箱门关好, 保证烘烤箱封闭, 防止温度外泄, 影响烘烤效果 ; 烘烤箱运行时尽量不要打开箱门, 若必须打开, 尽量缩短可开门时间 ; 烘烤完毕后, 需待模块自然冷却至 <36 后, 方可戴静电手套拿出, 以免烫伤 ; 操作时, 严防模块底面沾水或者污物 ; 庆科出厂模块温湿度管控等級为 Level3, 存储和烘烤条件依据 IPC/JEDEC J-STD-020

23 Datasheet [Page 21] 存储条件 图 10 存储条件示意图

24 Datasheet [Page 22] 二次回流温度曲线 建议使用焊锡膏型号 :SAC305, 无铅 回流次数不超过 2 次 图 11 参考回流温度曲线

25 Datasheet [Page 23] 6. 参考电路 参考电路如下图 12 电源参考电路 图 13 USB 转串口参考电路 图 14 外部接口参考设计所 示供用户参考 图 12 电源参考电路 图 13 USB 转串口参考电路

26 Datasheet [Page 24] 图 14 外部接口参考设计 UART 为 3.3V UART, 如果用户使用芯片的 UART 为 5V 电压, 则需要把 5V UART 转成 3.3V UART, 方能与 UART 通讯,5V-3.3V UART 转换电路请参考图 15 所示电路 图 V UART- 5V UART 转换电路

27 Datasheet [Page 25] 7. 模块 MOQ 与包装信息 表 17 模块 MOQ 与包装信息 料号 MOQ(pcs) 出货包装方式 ( 托盘 / 卷带 ) 每个托盘存放 模块数 (pcs) 每小箱托盘数 ( 个 ) -P -E 480 托盘 48 10

28 Datasheet [Page 26] 8. 销售与技术支持信息 如果需要咨询或购买本产品, 请在办公时间拨打电话咨询上海庆科信息技术有限公司 办公时间 : 星期一至星期五上午 :9:00~12:00, 下午 :13:00~18:00 联系电话 : 联系地址 : 上海市普陀区金沙江路 2145 弄 5 号 9 楼邮编 : sales@mxchip.com

xxxx数据手册

xxxx数据手册 SPI 产品手册 嵌入式 Wi-Fi 模块 版本 :1.4 日期 :2017-01-20 编号 :DS0013C 概 要 特性 支持 802.11b/g/n 标准, 集 ARM-Cortex M3, WLAN MAC/BB/RF 于一体 Cortex M 系统中较高的 512KB SRAM / 2MB SDRAM / 2MB FLASH 配置 工作电压 :DC 3.3V 使用 20MHz 带宽时,

More information

DS0005E_EMW3162

DS0005E_EMW3162 产品手册 EMB1066 嵌入式蓝牙模块 版本 :1.0 日期 :2015-11-16 编号 :DFB0001BT 概要 特性 模块型号 包含一个超低功耗蓝牙芯片 微处理器主频 48MHz 16KB SRAM 512KB 片内 Flash 电压 :3.0V~3.6V Bluetooth 相关特性 支持蓝牙 4.0BLE 射频数据速率高达 2Mbps TX 功率 :+8dBm RX 接收灵敏度 : -92

More information

DS0005E_EMW3162

DS0005E_EMW3162 产品手册 EMB1066 嵌入式蓝牙模块 版本 :1.0 日期 :2015-11-16 编号 :DS0017C 概要 特性 模块型号 包含一个超低功耗蓝牙芯片 微处理器主频 48MHz 16KB SRAM 512KB 片内 Flash 电压 :3.0V~3.6V Bluetooth 相关特性 支持蓝牙 4.0BLE 射频数据速率高达 2Mbps TX 功率 :+8dBm RX 接收灵敏度 : -92

More information

xxxx数据手册

xxxx数据手册 产品手册 嵌入式 Wi-Fi 模块 版本 :1.1 日期 :2016-6-21 编号 :DS0018C 概 要 特性 包含一个超低功耗蓝牙芯片 微处理器主频 24MHz 60KB RAM 320KB ROM 包含外部 64KB Flash 电压 :2.3V~3.6V Bluetooth 相关特性 支持蓝牙 BLE 4.1 ARM Cortex-M3 内核 射频数据速率 2Mbps 最大 TX 功率

More information

DS0005E_EMW3162

DS0005E_EMW3162 产品手册 EMB1061 嵌入式蓝牙模块 版本 :1.2 日期 :2018-03-08 编号 :DS0080CN 概要 特性 模块型号 包含一个超低功耗蓝牙芯片 ARM Cortex-M0 内核 微处理器主频 32MHz 24KB RAM 160KB Flash 电压 :1.7V~3.6V Bluetooth 相关特性 支持蓝牙 BLE 4.2 射频数据速率 2Mbps 最大 TX 功率 : 8dBm

More information

xxxx数据手册

xxxx数据手册 (A)/(B) 产品手册 嵌入式加密安全 Wi-Fi 模块 版本 :1.6 日期 :2017-10-25 编号 :DS0069CN 概 要 特性 支持 802.11b/g/n 标准, 集 ARM-CM4F, WLAN MAC/Baseband/RF 于一体 包含 256KB RAM/ 2MB FLASH 配置 工作电压 :DC 3.0-3.6V 使用 20MHz 带宽时, 最大传输速率达到 72.2Mbps

More information

Datasheet [Page 1] 版本更新说明 日期版本更新内容 初始文档 更新方案 增加 DIP 引脚定义说明, 更新模块图片

Datasheet [Page 1] 版本更新说明 日期版本更新内容 初始文档 更新方案 增加 DIP 引脚定义说明, 更新模块图片 产品手册 IOT GPRS 模块 版本 :1.0 日期 :2018-3-9 编号 :DS0017CN 概 要 特性 是四频段 GSM/GPRS 模块, 它的工作频段是 :GSM850MHz,GSM900MHz, DCS1800MHz 和 PCS1900MHz 支持 GPRS 多时隙等级 12 和 GPRS 编码格式 CS- 1,CS-2,CS-3 和 CS-4 包含 96MHz 的 Cortex-M3

More information

Datasheet [Page 1] 版权声明 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号 和图

Datasheet [Page 1] 版权声明 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号 和图 产品手册 IoT WiFi SOC 版本 :1.8 日期 :2018-7-27 编号 :DS0099CN 摘 MOC108 /MOC108A 是高度集成的 IoT WiFi SoC 芯片, 包含 MCU RAM Flash WiFi 和多种 IO 接口 微处理器 (MCU) 存储设备 接口 WiFi 内核 :ARM 9 主频 :120MHz 256KB SRAM 2MB Flash 2x UART

More information

xxxx应用笔记

xxxx应用笔记 Track Number: ANxxxxCN MXCHIP Co., Ltd Version: 1.0 2017.11.13 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的

More information

xxxx数据手册

xxxx数据手册 产品手册 IoT Wi-Fi SOC 版本 :1.2 日期 :2017-11-16 编号 :DS0099CN 摘 要 特性 高度集成的 SoC 芯片 ARM 9,256KB SRAM 和 2MB Flash 支持 802.11b/g/n 无线局域网 高效率功率放大器 (PA) 丰富的 I/O 接口微处理器 (MCU) 内核 :ARM 9 主频 :120MHz 内存 256KB SRAM 2MB Flash

More information

xxxx应用笔记

xxxx应用笔记 Track Number: AN0067CN MXCHIP Co., Ltd Version: 1.2 2017.5.26 Category: Application Note Open EMW3080 客户设计注意事项 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避,

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

IOT-MSP432_硬件手册

IOT-MSP432_硬件手册 User Manual 版本 :0.2 日期 :2018-4-9 TI Launchpad 物联网接入扩展板 产品简介 是庆科信息联合 TI 推出的 Launchpad 物联网接入扩展板, 可用于物联网 智能硬件的原型机开 发 开发套件提供一个开箱即用的智能硬件解决方案, 方便验证用户的软件和功能, 使产品可以快速 安全 地连接至云服务平台和手机端, 缩短研发周期, 迅速推向市场 版权声明 未经许可,

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

xxxx应用笔记

xxxx应用笔记 Track Number: MXCHIP Co., Ltd Version: 1.1 2017.9.11 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的 获取更多帮助

More information

Microsoft Word - 39.doc

Microsoft Word - 39.doc 摘 基 于 ARM 的 嵌 入 式 无 线 AP 的 设 计 杨 健 陈 永 泰 ( 武 汉 理 工 大 学 信 息 工 程 学 院, 武 汉 430070) 要 : 本 文 首 先 介 绍 了 无 线 AP 的 基 本 原 理, 然 后 重 点 描 述 基 于 AT76C510 的 无 线 AP 的 硬 件 设 计 及 嵌 入 式 系 统 uclinux 最 后 对 IEEE802.11b 的 安

More information

xxxx应用笔记

xxxx应用笔记 Track Number: AN0049CN MXCHIP Co., Ltd Version: 1.0 2017.8.10 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的

More information

Revisio Date Contents of Revision Change Remark /06/24 首次发布产品规格书 2014/06/ /09/09 更改规格书的模块图片 2014/09/ /12/17 添加 3.3V 的功耗 20

Revisio Date Contents of Revision Change Remark /06/24 首次发布产品规格书 2014/06/ /09/09 更改规格书的模块图片 2014/09/ /12/17 添加 3.3V 的功耗 20 SPECIFICATION IEEE 802.11 b/g/n 2.4GHz 1T1R WiFi with Bluetooth2.1 /3.0/4.0,with SDIO INTERFACE, and HS-UART MIXED INTERFACE RL-SM02BD (Realtek RTL8723BS) Combo Module Version 1.3 第 1 页共 1 页 Revisio Date

More information

xxxx应用笔记

xxxx应用笔记 Track Number: AN00XXCN MXCHIP Co., Ltd Version: 1.0 2017.05.31 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的

More information

MiCOKit硬件手册

MiCOKit硬件手册 Track Number: MXCHIP Co., Ltd Version: 0.1 2017.8.14 Category: Reference Manual Open 摘要 (Abstract) 本文档主要描述 MiCOKit 系列开发套件的硬件组成及各功能模块电路原理图, 旨在为 MiCO-IoT 物联网开发者提供与硬件相关的技术参考 适用对象 (Suitable Readers) 本文适合 MiCOKit-3060

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

可复式保险丝 : KLM 系列 表面贴装低阻型 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 EIA 尺寸 :0805, 1206, 1210, 维持电流 : 0.75 to 7.5A 4. 占用空间小 5. 超低电阻 6. 快速动作 7. 工作 & 存储温度范

可复式保险丝 : KLM 系列 表面贴装低阻型 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 EIA 尺寸 :0805, 1206, 1210, 维持电流 : 0.75 to 7.5A 4. 占用空间小 5. 超低电阻 6. 快速动作 7. 工作 & 存储温度范 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 EIA 尺寸 :0805, 1206, 1210, 1812 3. 维持电流 : 0.75 to 7.5A 4. 占用空间小 5. 超低电阻 6. 快速动作 7. 工作 & 存储温度范围 :-40~+85 8. 安规认证 : UL / cul / TUV 用途 1. USB, HDMI, IEEE 1394 接口 2. 个人电脑 主板

More information

Catalogue of Polymer PTC Resettable Fuse

Catalogue of Polymer PTC Resettable Fuse 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 IA 尺寸 :0603, 0805, 1206, 1812 3. 维持电流 :0.05~3A 4. 最大工作电压 :6V ( 计算机系统使用 ) 60V ( 电子设备使用 ) 5. 占用空间小 6. 快速动作 7. 工作 & 存储温度范围 :-40~+85 8. 安规认证 :UL/cUL/TUV 用途 1. USB HDMI I1394

More information

Microsoft Word - RL-SM02BD-8723BS-V1.0 规格书.doc

Microsoft Word - RL-SM02BD-8723BS-V1.0 规格书.doc SPECIFICATION IEEE 802.11 b/g/n 2.4GHz 1T1R WiFi with Bluetooth2.1 /3.0/4.0,with SDIO INTERFACE, and HS-UART MIXED INTERFACE RL-SM02BD (Realtek RTL8723BS) Combo Module Version 1.0 第 1 页共 11 页 PRODUCT DESCRIPTION

More information

Microsoft Word - 150Mbps无线Wi-Fi模块NW3206产品规格书V_CN.doc

Microsoft Word - 150Mbps无线Wi-Fi模块NW3206产品规格书V_CN.doc 产品名称 产品版本 V100 密级 公开 共 10 页 V1.0 拟制 : JOHN 日期 : 2015-02-27 审核 : 日期 : 审核 : 日期 : 批准 : 日期 : 修订记录 日期 修订版本 描述 作者 2015-2-27 1.0 首次建立 John 深圳市灵卡视讯有限公司 版权所有 侵权必究 目 录 1. 产品描述... 4 2. 系统框图... 4 3. 主要产品功能特色... 4

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

Microsoft Word - RL-SM02BD-8723BS-V1.0 规格书.doc

Microsoft Word - RL-SM02BD-8723BS-V1.0 规格书.doc SPECIFICATION IEEE 802.11 B/G/N 2.4GHz 1T1R WiFi with Bluetooth2.1/3.0/4.0, and FM controller with SDIO interface, and HS-UART mixed interface NT-SM02BD-8723BS-12 WF+ BT+ FM Combo Module Version 1.0 PRODUCT

More information

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32 2.5 208 www.espressif.com ESP32 ESP32 PCB ESP32 ESP32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2 2 2. 2 2.. 3 2... 3 2...2 4 2..2

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

V2平台接口

V2平台接口 文档名称 文件编号 DL-YJ-20150516-001 版本号 1.1.2 编制 : 孙标审核 : 批准 : 编制时间 :2015/7/16 审核时间 : 批准时间 : 物联网 WIFI 基础模块 DL2103A-V1.1 用户手册 杭州德澜科技有限公司 1 / 17 版本历史 版本号修订日期修订人参与者修订内容备注 1.0.0 2015/1/20 孙标创建 1.0.1 2015/4/22 孙标修改引脚图

More information

WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May

WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May-13 1 Revision History 0.2, 增加 J2 的 PIN57 PIN59 说明 0.21,2014-Feb-17 去掉表格中的 HDMI 接口 修改 SPI 个数, 只有 1 个 SPI 接口 修改 硬件参数 表格 增加备注 关于 MMC1_DAT4-7 被替换 0.22, 增加

More information

目录 1. 产品介绍 概述 特征 产品框图 外观图 特性 管脚图 电气特性 硬件设计注意事项 应用框图 GPIO 介绍 LED 控制... 2.

目录 1. 产品介绍 概述 特征 产品框图 外观图 特性 管脚图 电气特性 硬件设计注意事项 应用框图 GPIO 介绍 LED 控制... 2. 产品规格书 串口 WiFi 模块 LCX801 (2.4GHz/150Mbps 802.11 b/g/n) 目录 1. 产品介绍... 1.1 概述... 1.2 特征... 1.3 产品框图... 1.4 外观图... 1.5 特性... 1.6 管脚图... 1.7 电气特性... 2. 硬件设计注意事项... 2.1 应用框图... 2.2 GPIO 介绍... 2.2.1 LED 控制...

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

投影片 1

投影片 1 OtO Photonics 描述 CB 系列光谱仪控制电路板是由 32 bit RISC core 16 bit ADC 和低电压电路组成之控制板 系列控制电路板可透过 USB2.0 连接 OTO 计算机软件 (SpectraSmart) C 同时内建可程控的 CCD & ADC 时序产生器, 可控制不同种类的 CCD 且可高速读取 ADC 数据 CB 系列光谱仪控制电路版提供用户多种电子接口来连接其他外部设施,

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

P.3 1.1 1.2 P.4-6 2.1 2.2 P.7-8 3.1 3.2 3.3 3.4 3.5 P.9-14 4.1 4.2 P.15-29 5.1 5.2 5.3 5.4 5.5 P.30-42 6.1 6.2 P.1

P.3 1.1 1.2 P.4-6 2.1 2.2 P.7-8 3.1 3.2 3.3 3.4 3.5 P.9-14 4.1 4.2 P.15-29 5.1 5.2 5.3 5.4 5.5 P.30-42 6.1 6.2 P.1 P.3 1.1 1.2 P.4-6 2.1 2.2 P.7-8 3.1 3.2 3.3 3.4 3.5 P.9-14 4.1 4.2 P.15-29 5.1 5.2 5.3 5.4 5.5 P.30-42 6.1 6.2 P.1 6.3 ( ) 6.4 ( ) 6.5 6.6 6.7 6.8 P.43 P.44-48 8.1 8.2 P.49 P.50 P.51 P.2 1.1 1.2 P.3 2.1

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

xxxx数据手册

xxxx数据手册 产品手册 嵌入式加密安全 Wi-Fi 模块 版本 :1.2 日期 :2017-04-21 编号 :DS0075CN 摘要 特性 高度集成的 SoC 芯片 支持多种低功耗模式 ARM Cortex-M4F MCU,256KB SRAM 和 512KB ROM 单流支持 802.11b/g/n 无线局域网 高效率功率放大器 (PA) Flash 控制器内嵌 32KB cache, 支持外部 SPI flash

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

ESP-M1/M2数据手册

ESP-M1/M2数据手册 产品手册 ESP-M1/M2 深圳四博智联科技有限公司 版本 V1.1 2017 年 3 月 18 日编号 :DM0013CN 特点 SOC 特性 内置 Tensilica L106 超低功耗 32 位微处理器, 主频支持 80MHz 和 160MHz, 支持 RTOS 内置 TCP/IP 协议栈 内置 1 路 10 bit 精度 ADC 外设接口 HSPI UART I2C I2S IR Remote

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

12LB3.mps

12LB3.mps 第 3 章 艺 产 品 学 习 目 标 艺, 简 称 为 THT 艺 (Through Hole Technology) 它 是 指 将 元 器 件 引 出 脚 入 印 制 路 板 相 应 安, 然 后 与 印 制 路 板 面 路 焊 盘 焊 固 定 一 种 联 艺 本 章 主 要 介 绍 程 图 辅 助 材 料 及 配 具 导 线 端 头 处 理 元 件 引 脚 成 形 和 THT 元 件 焊,

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc AirTrack T600 http://www.netac.com.cn Netac Netac AirTrack OnlyDisk Netac Netac Netac http://www.netac.com.cn Netac 800-830-3662 FCC 15 B 1 2 3 4 / FCC 20cm 1 2 3 / / ...1 1.1...1 1.2...1 1.3...1 1.4...3...4

More information

乐鑫智能互联平台:ESP8266

乐鑫智能互联平台:ESP8266 1 Page 乐鑫信息科技 2013 年 12 月 09 日 免 责 申 明 和 版 权 公 告 本 文 中 的 信 息, 包 括 供 参 考 的 URL 地 址, 如 有 变 更, 恕 不 另 行 通 知 文 档 按 现 状 提 供, 不 负 任 何 担 保 责 任, 包 括 对 适 销 性 适 用 于 特 定 用 途 或 非 侵 权 性 的 任 何 担 保, 和 任 何 提 案 规 格 或 样

More information

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档 L6 LoRa TM 通信模块规格书 巧而美 唯匠心集成 智而快 享极速运行 版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使用许可,

More information

修订历史版本 日期 原因 V /01/20 创建文档 V /03/14 正式版本 i

修订历史版本 日期 原因 V /01/20 创建文档 V /03/14 正式版本 i Data Sheet DS01010101 V1.00 Date: 2018/01/20 概述 是一款全新的高吞吐量的蓝牙 5 模块, 具有低成本 小尺寸和速率更高 功耗更低等优点 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产过程, 加快产品上市 满足快速开发需求, 减少软件投入, 缩短研发周期 该模块使用简单方便, 能迅速桥接电子产品和智能移动设备, 广泛应用于各种电子设备,

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

LePad A 使用说明书

LePad A 使用说明书 LePad A1-07 V1.0 LePad A1-07 LePad A1-07 - 1 LePad A1-07 1-1 1-2 1-3 LePad A1-07 1-4 1-5 / 1-6 1-7 4 1-8 1-9 1-10 1-11 1-12 1-13 2 2-1 2-2 3 3-1 3-2 3-3 http://www.lenovomobile.com/lepad/series_a/gudie/contents.html[2011/10/16

More information

目 录 一 指 导 思 想... 4 二 基 本 原 则... 4 1. 全 面 发 展 的 原 则... 4 2. 整 体 优 化 的 原 则... 4 3. 因 材 施 教 的 原 则... 5 4. 注 重 实 用 的 原 则... 5 三 专 业 与 专 业 化 方 向... 6 四 招 生

目 录 一 指 导 思 想... 4 二 基 本 原 则... 4 1. 全 面 发 展 的 原 则... 4 2. 整 体 优 化 的 原 则... 4 3. 因 材 施 教 的 原 则... 5 4. 注 重 实 用 的 原 则... 5 三 专 业 与 专 业 化 方 向... 6 四 招 生 国 家 中 等 职 业 教 育 改 革 发 展 示 范 学 校 建 设 材 料 综 合 素 质 + 技 能 特 长 人 才 培 养 方 案 重 点 专 业 名 称 : 电 子 技 术 应 用 专 业 负 责 人 : 胡 士 坤 福 建 省 长 汀 职 业 中 专 学 校 二 0 一 五 年 四 月 目 录 一 指 导 思 想... 4 二 基 本 原 则... 4 1. 全 面 发 展 的 原 则...

More information

第十章 3G手机的电路原理分析及维修实例

第十章    3G手机的电路原理分析及维修实例 10 1 1 PAD PCBA MIC RF Power *#06# *#06# PE 100 PCS 1 2 10 2 1 2 1 PIN 10.2 1 1 10.2 1 10.1 1 10.2 2 10.2 2 OK 10.2 3 10.2 3 2 A 1 A ( ) 2 1PCS 1PCS A 10.2 4 2 10.2 4 OK 10.2 5 10.2 5 PIN 3 DOME 1 DOME

More information

RT8011 WiFi 音频模组 产品规格书 V1.0 上海睿赛德电子科技有限公司 2015 年 09 月 23 日

RT8011 WiFi 音频模组 产品规格书 V1.0 上海睿赛德电子科技有限公司 2015 年 09 月 23 日 RT8011 WiFi 音频模组 产品规格书 V1.0 上海睿赛德电子科技有限公司 2015 年 09 月 23 日 1. 产品描述...3 1.1. 简介...3 1.2. 产品特点...3 1.3. 标准支持...3 1.4. 外设接口...4 1.5. 应用领域...4 2. 系统框图...5 2.1. 模组架构框图 ( 方案一 )...5 2.2. 模组架构框图 ( 方案二 )...6 3.

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

Motor Setup iphone [Motor Setup]

Motor Setup iphone [Motor Setup] AC MINAS Panasonic Motor Setup App Ver. 1.0 ios 7.09.3 AC MINAS [Panasonic Motor Setup App Ver.1.0]([Motor Setup]) (35 ) [iphone]([ios]) [iphone] USB [Windows],[PANATERM] [PANATERM] [ LAN DV0PM20105] https://industrial.panasonic.cn/ea/products/motors-compres

More information

安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请

安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请 1080p 方 形 网 络 摄 像 机 使 用 手 册 201301 800 A2 安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请 勿 将 摄 像 机

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 SAMSUNG ARM 核心板 Rev. V1.0 Date:

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 SAMSUNG ARM 核心板 Rev. V1.0 Date: 深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 Rev. V1.0 Date:2017-06-02 www.nrisc.com 简介 CES-4418 核心板是一款低功耗 高性能的嵌入式 ARM 主板, 使用 Samsung 高端主流 ARM 处理器 :S5P4418 四核 Cortex-A9,

More information

修订历史 版本日期原因 V /03/17 创建文档 i

修订历史 版本日期原因 V /03/17 创建文档 i Data Sheet DS01010101 V1.00 Date: 2016/03/17 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

MiCOKit硬件手册

MiCOKit硬件手册 HED Documentation Working Group (HDWG) Track Number: Andy Liu MXCHIP Co., Ltd Version: 1.1 2017.6.6 Category: Reference Manual Open 摘要 (Abstract) 本文档主要描述 MiCOKit 系列开发套件的硬件组成及各功能模块电路原理图, 旨在为 MiCO-IoT 物联网开发者提供与硬件相关的技术参考

More information

声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 公 开 转 让 说 明 书 不 存 在 虚 假 记 载 误 导 性 陈 述 和 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和

声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 公 开 转 让 说 明 书 不 存 在 虚 假 记 载 误 导 性 陈 述 和 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和 中 电 科 微 波 通 信 ( 上 海 ) 股 份 有 限 公 司 公 开 转 让 说 明 书 主 办 券 商 二 零 一 六 年 三 月 声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 公 开 转 让 说 明 书 不 存 在 虚 假 记 载 误 导 性 陈 述 和 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法

More information

ZoneFlex N SMART WI-FI AP ZoneFlex 7762 ZoneFlex 7762-S n 2.4/5 GHz GHz ( ) 3G n 2.4 GHz GHz

ZoneFlex N SMART WI-FI AP ZoneFlex 7762 ZoneFlex 7762-S n 2.4/5 GHz GHz ( ) 3G n 2.4 GHz GHz Data Sheet ChannelFly AC IP-67 Wi-Fi ZoneDirector / FlexMaster Smart Wi-Fi AP ( 3GPP I-WLAN 4G/LTE ) Wi-Fi 6 db 15 db AC 500 Smart Wi-Fi Wi-Fi IP ZoneFlex 7762 802.11N SMART WI-FI AP 2.4/5GHz 802.11n Access

More information

802.11NSMART WI-FI AP DOCSIS 3.0 Ruckus Wi-Fi FlexMaster / Ruckus 7761-CM MSO ( Mesh ) Ruckus 7761-CM (5GHz/2.4GHz) 4,000 IP 802.3af ( -40 ) IP 16 BSS

802.11NSMART WI-FI AP DOCSIS 3.0 Ruckus Wi-Fi FlexMaster / Ruckus 7761-CM MSO ( Mesh ) Ruckus 7761-CM (5GHz/2.4GHz) 4,000 IP 802.3af ( -40 ) IP 16 BSS Data Sheet DOCSIS 3.0 8 x 4 DOCSIS 3.0 8 4 Wi-Fi 802.11n (3 x 3) 5 dbi 6 db BeamFlex+ AP AP ChannelFly IP-67 MSO Smart Wi-Fi Wi-Fi IP 3G AP ZoneFlex 7761-CM 802.11NSMART WI-FI AP DOCSIS 3.0 802.11n Smart

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

目录 1 介绍 基本描述 产品特色 全功能 Wi-Fi 联通性 内置专用 TCP/IP 协议栈 低 CPU 开销的串口传输 完善的 SDK 开发包 典型应用.

目录 1 介绍 基本描述 产品特色 全功能 Wi-Fi 联通性 内置专用 TCP/IP 协议栈 低 CPU 开销的串口传输 完善的 SDK 开发包 典型应用. TinyCon2005-LS 产品规格 日期版本号描述作者审阅者 2014-08-09 1.0 V1.0 Frank Liang 2014-09-15 1.0 V1.0.1 Frank Liang 1 锐凌微南京电子科技有限公司 目录 1 介绍... 7 1.1 基本描述... 7 1.2 产品特色... 7 1.2.1 全功能 Wi-Fi 联通性... 7 1.2.2 内置专用 TCP/IP 协议栈...

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

目录 1. 产品概述 产品简介 引脚描述 尺寸描述 封装尺寸 开发套件 硬件参考设计 典型应用硬件连接 电源接口 UART 接口...

目录 1. 产品概述 产品简介 引脚描述 尺寸描述 封装尺寸 开发套件 硬件参考设计 典型应用硬件连接 电源接口 UART 接口... USR-C322 硬件设计手册 文件版本 :Ver1.2 第 1 页共 15 页 目录 1. 产品概述... 3 1.1 产品简介... 3 1.2 引脚描述... 3 1.3 尺寸描述... 5 1.4 封装尺寸... 5 1.5 开发套件... 6 2. 硬件参考设计... 10 2.1 典型应用硬件连接...10 2.2 电源接口... 10 2.3 UART 接口... 11 2.4 复位控制和恢复出厂设置控制...12

More information

第 2 頁 建 議 2. 政 府 資 訊 科 技 總 監 建 議 開 立 - (a) 一 筆 為 數 4 億 7,470 萬 元 的 承 擔 額, 用 以 推 行 Wi-Fi 連 通 城 市 計 劃, 如 下 文 第 10 至 18 段 所 述, 通 過 結 合 公 私 營 合 作 及 政 府 出

第 2 頁 建 議 2. 政 府 資 訊 科 技 總 監 建 議 開 立 - (a) 一 筆 為 數 4 億 7,470 萬 元 的 承 擔 額, 用 以 推 行 Wi-Fi 連 通 城 市 計 劃, 如 下 文 第 10 至 18 段 所 述, 通 過 結 合 公 私 營 合 作 及 政 府 出 財 務 委 員 會 討 論 文 件 2016 年 5 月 13 日 基 本 工 程 儲 備 基 金 總 目 710- 電 腦 化 計 劃 政 府 資 訊 科 技 總 監 辦 公 室 新 分 目 Wi-Fi 連 通 城 市 總 目 47- 政 府 總 部 : 政 府 資 訊 科 技 總 監 辦 公 室 分 目 700 一 般 非 經 常 開 支 新 項 目 在 自 修 室 及 青 少 年 服 務 中

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

幻灯片 1

幻灯片 1 无线数字解决方案模块选型指南 Xbee 产品线是一系列模块化的产品使无线技术的部署更加方便和高性价比. 通用的 Xbee 封装内提供多种协议和不同无线射频通讯特点, 使客户享有按照他们需求充分选择最好技术的灵活性, 无需在多个供应商中选择. 无论你需要 ZigBee 或快速的多点解决方案,2.4 GHz 或长距离 900 MHz 我们的 Xbee 都可以满足你的特殊需要. 规格 * 网络特点 工作频率

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b SAM 系列射频同轴连接器 航天电器 特点简介 SMA 射频同轴连接器具有体积小 频带宽 机械电气性能优越 可靠性高等优点, 是应用最广泛的射频电连接器 广泛用于微波通讯 航天航海 武器系统及微波测量设备等领域 技术特性 温度范围 -65 ~ +165 绝缘电阻 5000MΩ 特性阻抗 50Ω 介质耐压 1000V 频率范围 配软电缆 0 ~ 12.4GHz 中心导体 0.003Ω 接触电阻配半刚

More information