Datasheet [Page 1] 版本更新说明 日期版本更新内容 初始文档 更新方案 增加 DIP 引脚定义说明, 更新模块图片

Size: px
Start display at page:

Download "Datasheet [Page 1] 版本更新说明 日期版本更新内容 初始文档 更新方案 增加 DIP 引脚定义说明, 更新模块图片"

Transcription

1 产品手册 IOT GPRS 模块 版本 :1.0 日期 : 编号 :DS0017CN 概 要 特性 是四频段 GSM/GPRS 模块, 它的工作频段是 :GSM850MHz,GSM900MHz, DCS1800MHz 和 PCS1900MHz 支持 GPRS 多时隙等级 12 和 GPRS 编码格式 CS- 1,CS-2,CS-3 和 CS-4 包含 96MHz 的 Cortex-M3 MCU/128KB SRAM /2MB FLASH, 基于庆科软件开发平台可以方便地在 MCU 中开发集成云端通信 加密协议, 应用软件等 工作电压 :DC 4.5 V~5.5V GPRS 相关特性 支持四频段 GSM850 / GSM900 / DCS1800 / PCS1900, 可以自动搜寻工作频段, 频段选择可以通过 AT 命令来设置 符合 GSM Phase 2/2+ 下行峰值速率可达 85.6kb/s 上行峰值速率可达 85.6kb/s 编码格式 :CS-1,CS-2,CS-3 和 CS- 4 支持分组广播控制信道 (PBCCH) 支持通常用于 PPP 连接的 PAP( 密码验证协议 ) 协议 外设 : 1x UART 1*SPI 2*ADC 应用 1x GPRS Debug UART 1x USB Up to 16 GPIOs 1x SIM Card / ESIM 工作环境温度 :-20 to +85 共享设备 工业自动化 POS 支付 模块型号 模块类型天线型号 SIM 卡 -PLUG IPEX 连接器拔插卡 (MP 卡 ) -ESIM IPEX 连接器焊接卡 (MS 卡 ) 硬件框图 版权声明 5V UART NPN SPI*1 ADC*2 USB*1 SWD*1 GPIO x 16 未经许可, 禁止使用或复制本手册中的全部或 任何一部分内容 GPRS Module Block Diagram 3.3V UART MX MHZ Cortex- M3 Core 128KB RAM KHZ OSC 5V Input SPI UART 2MB FLASH Air 208T BB&RF RF PAM 4V Input DCDC SIM or esim U.F.L connector

2 Datasheet [Page 1] 版本更新说明 日期版本更新内容 初始文档 更新方案 增加 DIP 引脚定义说明, 更新模块图片

3 Datasheet [Page 2] 目录 概要... 1 版本更新说明 产品简介... 3 标签信息 尺寸图 模块接口... 6 引脚排列... 6 引脚定义... 7 内部接口电路 SIM 卡 电气参数 工作条件 绝对最大额定值 ( 电压 ) 工作环境 静电放电 天线信息 天线类型 外接天线连接器 生产指导 生产指南 ( 请务必要仔细阅读 ) 注意事项 存储条件 二次回流温度曲线 销售与技术支持信息... 19

4 Datasheet [Page 3] 1. 产品简介 是一款支持四频段 (GSM850/GSM900/DCS1800/PCS1900) 的 GSM/GPRS 无线通信模块, 提供语音 短信 数据业务等功能, 适合各种民用领域的应用 数据业务 GPRS 下行峰值数据理论速率可达 85.6kb/s, 上行峰值数据理论速率 85.6 kb/s 其内部 MCU 运行物联网操作系统, 基于庆科软件开发平台可以方便地在 MCU 中开发集成云端通信 加密协议, 应用软件等 下图是 模块的硬件框图, 主要包括四大部分 : Cortex-M3 主核 GPRS 射频模块 Air 208T 控制器和外设 电源管理其中 : 1. Cortex-M3 MCU, 工作频率最大至 96MHz, 内部集成 128KB SRAM, 支持高速 UART,SPI, ADC 以及多个 GPIO 口 2. 2MB 的片外 SPI Flash 用于客户固件定制开发 3. 采用 IPEX 外置天线 4. 输入电压 :DC 4.5V~5.5V GPRS Module Block Diagram 5V UART NPN SPI*1 3.3V UART MX MHZ Cortex- M3 Core SPI 2MB FLASH ADC*2 USB*1 SWD*1 GPIO x KB RAM KHZ OSC UART Air 208T BB&RF RF PAM 4V Input SIM or esim U.F.L connector DCDC 5V Input 图 1 硬件框图模块接口

5 Datasheet [Page 4] 丝印信息 -PLUG -ESIM 图 2 整体照片 PCB 丝印信息 : : 模块型号

6 Datasheet [Page 5] 2. 尺寸图 的尺寸 :45mm*25mm*8.5mm 产品尺寸图, 如图 3 所示 : 图 3 尺寸图

7 Datasheet [Page 6] 3. 模块接口引脚排列 的对外接口为一个 4pin 插座和两排 DIP 插孔 4pin 插座 ( 电源 地 UART TX UART UX) 对应简单串口应用, 两排 DIP 插孔对应需要更多 IO 的应用 4pin 引脚插座 ( 红色框图 ) 以及 DIP 插孔如图 4 所示 : 图 4 引脚图

8 Datasheet [Page 7] 引脚定义 通讯引脚定义如下表所示 : 引脚 定义 功能 1 VCC 电源引入脚 2 GND 接地 3 RXD 模块接收数据 (Receive) 4 TXD 模块发送数据 (Transmit) DIP 测试引脚定义如下表所示 : 引脚 定义 功能 1 VCC 电源引入脚 2 RXD 模块接收数据 (Receive) 3 TXD 模块发送数据 (Transmit) 4 GND 接地 5 SPI_MOSI SPI 通信数据引脚 6 SPI_CLK SPI 通信时钟引脚 7 SPI_MISO SPI 通信数据引脚 8 NC NC 9 NC NC 10 PC11 GPIO 11 PC12 GPIO 12 ADC5 ADC/PB24 13 ADC3 ADC/PB22 14 GND 接地 15 GND 接地 16 USB2_DP MCU 更新程序引脚 17 UCB2_DN MCU 更新程序引脚 18 G_Debug_TX GPRS 调试串口 ( 打印工作信息 )TX 19 G_Debug_RX GPRS 调试串口 ( 打印工作信息 )RX 20 NC NC 21 PB5 GPIO

9 Datasheet [Page 8] 22 SWCLK 程序仿真接口 23 SWDIO 程序仿真接口 24 STATUS 模块控制引脚 25 BOOT 模块控制引脚 26 RESET 模块复位引脚 27 NC NC 28 VCC 电源 模块工作模式切换 引脚正常工作 Bootloader QC BOOT H L L STATUS H H L

10 Datasheet [Page 9] 内部接口电路 EMW5062 的接口内部包括一个 UART 电平转换电路, 以适应外部 5V 电平信号 电源的入口端有 PTC 和 TVS 保护, 原理图如图 5 所示 图 5 EMW5062 内部接口电路原理图

11 Datasheet [Page 10] 4. SIM 卡 支持两种 SIM 卡 : 拔插卡 (MP 卡 ) 或者焊接卡 (MS 卡 ), 对应型号见下表 模块类型天线型号 SIM 卡 -PLUG IPEX 连接器拔插卡 (MP 卡 ) -ESIM IPEX 连接器焊接卡 (MS 卡 ) -PLUG 带有 SIM 卡座 ( 尺寸为 Micro SIM 卡 ), 支持拔插卡 (M2M Plug-in 卡, 简称 MP 卡 ) -ESIM 采用焊接卡 (M2M SMD 卡, 简称 MS 卡 ),MS 卡抗振动指标更优

12 Datasheet [Page 11] 5. 电气参数工作条件 在输入电压低于最低额定电压下会造成工作不稳定 电源设计时需要注意这点 电压参数如表 2 所示 : 表 1 电压参数 符号说明条件 详细 最小值典型值最大值单位 VCC 电源电压 V 说明 : 模块发射的突发会导致电压跌落, 这时电流的峰值最高会达到 2A 因此, 电源的供电流能力不 能小于 2A 绝对最大额定值 ( 电压 ) 模块超出绝对最大额定值工作会给硬件造成永久性伤害 同时, 长时间在最大额定值下工作会影响模块的可靠性 表 2 电压绝对最大额定值 符号说明最小值典型值单位 VCC 模块电源输入电压 V VIN 输入 5V 宽电压 V 工作环境 工作温度与湿度参数如表 4 所示 : 表 3 温湿度条件 符号 名称 最大 单位 TSTG 存储温度 -40 to +85 TA 工作温度 -20 to +85 Humidity 非冷凝, 相对湿度 95 % 静电放电 电磁环境静电放电参数如表 5 所示 :

13 Datasheet [Page 12] 表 4 静电释放参数 符号名称名称等级最大值单位位 VESD(HBM) VESD(CDM) 静电释放电压 ( 人体模型 ) 静电释放电压 ( 放电设备模型 ) TA= +25 C 遵守 JESD22-A TA = +25 C 遵守 JESD22-C101 II 500 V

14 Datasheet [Page 13] 6. 天线信息 天线类型 采用 IPEX 座子, 由客户连接外部天线 图 6 天线

15 Datasheet [Page 14] 外接天线连接器 图 7 外接天线连接器尺寸图

16 Datasheet [Page 15] 7. 生产指导生产指南 ( 请务必要仔细阅读 ) 庆科出厂的邮票口封装模块必须由 SMT 机器贴片, 并且拆开包装烧录固件后 24 内必须贴片完成, 否则要重新抽真空包装, 贴片前要对模块进行烘烤 SMT 贴片需要仪器 (1) 回流焊贴片机 (2)AOI 检测仪 (3) 口径 6-8mm 吸嘴 烘烤需要设备 : (1) 柜式烘烤箱 (2) 防静电 耐高温托盘 (3) 防静电耐高温手套 庆科出厂的模块存储条件如下 ( 存储环境如 5.4 节图 8 所示 ): 防潮袋必须储存在温度 <30 C, 湿度 <85%RH 的环境中 干燥包装的产品, 其保质期应该是从包装密封之日起 6 个月的时间 密封包装内装有湿度指示卡 图 8 湿度卡 庆科出厂模块需要烘烤, 湿度指示卡及烘烤的几种情况如下所述 : 拆封时如果温湿度指示卡读值 30% 40% 50% 色环均为蓝色, 需要对模块进行持续烘烤 2 小时 ; 拆封时如果湿度指示卡读取到 30% 色环变为粉色, 需要对模块进行持续烘烤 4 小时 ; 拆封时如果湿度指示卡读取到 30% 40% 色环变为粉色, 需要对模块进行持续烘烤 6 小时 ;

17 Datasheet [Page 16] 拆封时如果湿度指示卡读取到 30% 40% 50% 色环均变为粉色, 需要对模块进行持续烘烤 12 小时. 烘烤参数如下 : 烘烤温度 :125 ±5 ; 报警温度设定为 130 ; 自然条件下冷却 <36 后, 即可以进行 SMT 贴片 ; 干燥次数 :1 次 ; 如果烘烤后超过 12 小时没有焊接, 请再次进行烘烤 如果拆封时间超过 3 个月, 禁止使用 SMT 工艺焊接此批次模块, 因为 PCB 沉金工艺, 超过 3 个月焊盘氧化严重,SMT 贴片时极有可能导致虚焊 漏焊, 由此带来的种种问题我司不承担相应责任 ; SMT 贴片前请对模块进行 ESD( 静电放电, 静电释放 ) 保护 ; 请根据回流焊曲线图进行 SMT 贴片, 峰值温度 245, 回流焊温度曲线如 5.5 节图 10 所示 ; 为了确保回流焊合格率, 首次贴片请抽取 10% 产品进行目测 AOI 检测, 以确保炉温控制 器件吸附方式 摆放方式的合理性 ; 之后的批量生产建议每小时抽取 5-10 片进行目测 AOI 测试 注意事项 在生产全程中各工位的操作人员必须戴静电手套 ; 烘烤时不能超过烘烤时间 ; 烘烤时严禁加入爆炸性 可燃性 腐蚀性物质 ; 烘烤时, 模块应用高温托盘放入烤箱中, 保持每片模块之间空气流通, 同时避免模块与烤箱内壁直接接触 ; 烘烤时请将烘烤箱门关好, 保证烘烤箱封闭, 防止温度外泄, 影响烘烤效果 ; 烘烤箱运行时尽量不要打开箱门, 若必须打开, 尽量缩短可开门时间 ; 烘烤完毕后, 需待模块自然冷却至 <36 后, 方可戴静电手套拿出, 以免烫伤 ; 操作时, 严防模块底面沾水或者污物 ; 庆科出厂模块温湿度管控等級为 Level3, 存储和烘烤条件依据 IPC/JEDEC J-STD-020

18 Datasheet [Page 17] 存储条件 图 9 存储条件示意图

19 Datasheet [Page 18] 二次回流温度曲线 建议使用焊锡膏型号 :SAC305, 无铅, 锡膏厚度 0.12~0.15, 回流次数不超过 2 次 图 10 参考回流温度曲线

20 Datasheet [Page 19] 8. 销售与技术支持信息 如果需要咨询或购买本产品, 请在办公时间拨打电话咨询上海庆科信息技术有限公司 办公时间 : 星期一至星期五上午 :9:00~12:00, 下午 :13:00~18:00 联系电话 : 联系地址 : 上海市普陀区金沙江路 2145 弄 5 号 9 楼邮编 : sales@mxchip.com

DS0005E_EMW3162

DS0005E_EMW3162 产品手册 EMB1066 嵌入式蓝牙模块 版本 :1.0 日期 :2015-11-16 编号 :DFB0001BT 概要 特性 模块型号 包含一个超低功耗蓝牙芯片 微处理器主频 48MHz 16KB SRAM 512KB 片内 Flash 电压 :3.0V~3.6V Bluetooth 相关特性 支持蓝牙 4.0BLE 射频数据速率高达 2Mbps TX 功率 :+8dBm RX 接收灵敏度 : -92

More information

DS0005E_EMW3162

DS0005E_EMW3162 产品手册 EMB1066 嵌入式蓝牙模块 版本 :1.0 日期 :2015-11-16 编号 :DS0017C 概要 特性 模块型号 包含一个超低功耗蓝牙芯片 微处理器主频 48MHz 16KB SRAM 512KB 片内 Flash 电压 :3.0V~3.6V Bluetooth 相关特性 支持蓝牙 4.0BLE 射频数据速率高达 2Mbps TX 功率 :+8dBm RX 接收灵敏度 : -92

More information

xxxx数据手册

xxxx数据手册 产品手册 嵌入式 Wi-Fi 模块 版本 :1.1 日期 :2016-6-21 编号 :DS0018C 概 要 特性 包含一个超低功耗蓝牙芯片 微处理器主频 24MHz 60KB RAM 320KB ROM 包含外部 64KB Flash 电压 :2.3V~3.6V Bluetooth 相关特性 支持蓝牙 BLE 4.1 ARM Cortex-M3 内核 射频数据速率 2Mbps 最大 TX 功率

More information

xxxx数据手册

xxxx数据手册 SPI 产品手册 嵌入式 Wi-Fi 模块 版本 :1.4 日期 :2017-01-20 编号 :DS0013C 概 要 特性 支持 802.11b/g/n 标准, 集 ARM-Cortex M3, WLAN MAC/BB/RF 于一体 Cortex M 系统中较高的 512KB SRAM / 2MB SDRAM / 2MB FLASH 配置 工作电压 :DC 3.3V 使用 20MHz 带宽时,

More information

DS0005E_EMW3162

DS0005E_EMW3162 产品手册 EMB1061 嵌入式蓝牙模块 版本 :1.2 日期 :2018-03-08 编号 :DS0080CN 概要 特性 模块型号 包含一个超低功耗蓝牙芯片 ARM Cortex-M0 内核 微处理器主频 32MHz 24KB RAM 160KB Flash 电压 :1.7V~3.6V Bluetooth 相关特性 支持蓝牙 BLE 4.2 射频数据速率 2Mbps 最大 TX 功率 : 8dBm

More information

版权声明 图 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号和

版权声明 图 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号和 产品手册 嵌入式 Wi-Fi 模块 版本 :1.8 日期 :2018-12-30 编号 :DS0089CN 概 要 特性 支持 802.11b/g/n 标准, 集 ARM9, WLAN MAC/Baseband/RF 于一体 包含 256KB RAM/ 2MB FLASH 配置 工作电压 :DC 3.0-3.6V 使用 20MHz 带宽时, 最大传输速率达到 72.2Mbps Wi-Fi 相关特性

More information

xxxx数据手册

xxxx数据手册 (A)/(B) 产品手册 嵌入式加密安全 Wi-Fi 模块 版本 :1.6 日期 :2017-10-25 编号 :DS0069CN 概 要 特性 支持 802.11b/g/n 标准, 集 ARM-CM4F, WLAN MAC/Baseband/RF 于一体 包含 256KB RAM/ 2MB FLASH 配置 工作电压 :DC 3.0-3.6V 使用 20MHz 带宽时, 最大传输速率达到 72.2Mbps

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

港澳四天三夜双人游行程及说明

港澳四天三夜双人游行程及说明 汽 车 (GPS) 卫 星 定 位 终 端 设 备 数 据 维 护 手 册 V1.0 A24 型 ( 车 载 DVD 升 级 车 联 网 套 件 ) 佛 山 市 阿 普 思 通 讯 技 术 有 限 公 司 专 注 车 联 网 及 汽 车 微 电 子 - 1 - 更 新 列 表 日 期 版 本 号 内 容 负 责 人 备 注 2013.10.6 V1.0 建 档 - 2 - 安 装 和 使 用 之 前,

More information

E68 E68C 中性说明书 A6版

E68 E68C 中性说明书 A6版 GPS 行 车 记 录 仪 一 体 机 详 细 功 能 描 述 广 州 超 前 计 算 机 科 技 有 限 公 司 ( 版 本 :V1.0 修 改 时 间 2011-11-16) 目 录 一 产 品 外 形... 1 二 功 能 与 操 作... 1 1 行 驶 记 录 仪 功 能... 1 2 显 示 屏 菜 单 功 能... 5 3 接 线 图... 7 4 屏 操 作 功 能 说 明...

More information

第十章 3G手机的电路原理分析及维修实例

第十章    3G手机的电路原理分析及维修实例 10 1 1 PAD PCBA MIC RF Power *#06# *#06# PE 100 PCS 1 2 10 2 1 2 1 PIN 10.2 1 1 10.2 1 10.1 1 10.2 2 10.2 2 OK 10.2 3 10.2 3 2 A 1 A ( ) 2 1PCS 1PCS A 10.2 4 2 10.2 4 OK 10.2 5 10.2 5 PIN 3 DOME 1 DOME

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

GPS

GPS GVT800 用 户 手 册 版 本 管 理 表 版 本 修 改 人 更 改 内 容 日 期 备 注 V1.0 StevenLi 创 建 2014.06.23 初 始 版 本 GPS 多 功 能 车 载 定 位 跟 踪 器 用 户 手 册 型 号 :GVT800 1 目 录 1 产 品 概 述...2 2 安 全 须 知...3 3 规 格 参 数...3 4 开 始 使 用...4 4.1 标 准

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

P1500

P1500 深 圳 华 禹 工 控 科 技 有 限 公 司 P1500 用 户 使 用 手 册 深 圳 华 禹 工 控 科 技 有 限 公 司 编 制 联 系 电 话 邮 件 地 址 更 新 日 期 邝 足 林 15986765262 2850906986@qq.com 2014-11-04 文 件 编 号 20141104-001 版 本 号 / 修 订 号 V1.1 本 文 件 版 权 属 于 本 公 司

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET QVGA ET700 2

GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET QVGA ET700 2 :Lenovo ET700 1 GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB2.0 624MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET700 2.5 QVGA ET700 2 3 Lenovo 800-810-8888 400-818-8818 http://www.lenovomobile.com

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

Datasheet [Page 1] 版权声明 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号 和图

Datasheet [Page 1] 版权声明 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号 和图 产品手册 IoT WiFi SOC 版本 :1.8 日期 :2018-7-27 编号 :DS0099CN 摘 MOC108 /MOC108A 是高度集成的 IoT WiFi SoC 芯片, 包含 MCU RAM Flash WiFi 和多种 IO 接口 微处理器 (MCU) 存储设备 接口 WiFi 内核 :ARM 9 主频 :120MHz 256KB SRAM 2MB Flash 2x UART

More information

xxxx应用笔记

xxxx应用笔记 Track Number: ANxxxxCN MXCHIP Co., Ltd Version: 1.0 2017.11.13 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

投影片 1

投影片 1 OtO Photonics 描述 CB 系列光谱仪控制电路板是由 32 bit RISC core 16 bit ADC 和低电压电路组成之控制板 系列控制电路板可透过 USB2.0 连接 OTO 计算机软件 (SpectraSmart) C 同时内建可程控的 CCD & ADC 时序产生器, 可控制不同种类的 CCD 且可高速读取 ADC 数据 CB 系列光谱仪控制电路版提供用户多种电子接口来连接其他外部设施,

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简

版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简 网 络 摄 像 机 快 速 指 南 UD.6L0101B1266A01 版 权 所 有 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 2015 保 留 一 切 权 利 本 手 册 的 任 何 部 分, 包 括 文 字 图 片 图 形 等 均 归 属 于 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 或 其 子 公 司 ( 以 下 简 称 本 公 司 或 海 康 威

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

DCU9010及9020硬件使用说明书.doc

DCU9010及9020硬件使用说明书.doc DCU9010/9020 BORIT...2...5...12...19...20...23...33...35 1 BORIT BORIT DCU9020 DCU9010 DCU9020XP DCU9010XP CA-232 SEGUARD Modem DCU9020/XP BORIT RS485 127 DCU9020 485 508 DCU9010/XP DCU9010/9020 DCU9010/XP

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

宏电文档

宏电文档 GPRS DDN 〇〇 1. GPRS (General Packet Radio Service) GSM GSM GPRS GSM TDMA (BSS) GPRS GPRS GPRS DDN GSM/GPRS SMS CSD USSD GPRS (DTU) (Machine To Machine M2M) GPRS DDN H7112 GPRS DTU (Tel): +86-755-83890580

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

IOT-MSP432_硬件手册

IOT-MSP432_硬件手册 User Manual 版本 :0.2 日期 :2018-4-9 TI Launchpad 物联网接入扩展板 产品简介 是庆科信息联合 TI 推出的 Launchpad 物联网接入扩展板, 可用于物联网 智能硬件的原型机开 发 开发套件提供一个开箱即用的智能硬件解决方案, 方便验证用户的软件和功能, 使产品可以快速 安全 地连接至云服务平台和手机端, 缩短研发周期, 迅速推向市场 版权声明 未经许可,

More information

xxxx应用笔记

xxxx应用笔记 Track Number: AN0067CN MXCHIP Co., Ltd Version: 1.2 2017.5.26 Category: Application Note Open EMW3080 客户设计注意事项 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避,

More information

CWT-3000 SMS DTU

CWT-3000 SMS DTU TT62 GPRS DTU 3.0 GPRS -------------------------------------------------------------------------------------------- TT62 GPRS DTU -----------------------------------------------------------------------

More information

操作使用说明

操作使用说明 TWM Amazing p5 Lite 使 用 手 冊 - 1 - 目 錄 使 用 手 冊... - 1-1 安 全 事 項... - 4-1.1 注 意 事 項... - 4-1.2 合 理 使 用... - 4-2 快 速 指 南... - 4-2.1 電 池... - 4-2.2 開 機 和 關 機... - 4-2.3 開 啟 和 關 閉 螢 幕... - 5-2.4 觸 控 螢 幕 的 使

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

xxxx应用笔记

xxxx应用笔记 Track Number: AN00XXCN MXCHIP Co., Ltd Version: 1.0 2017.05.31 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

xxxx数据手册

xxxx数据手册 产品手册 IoT Wi-Fi SOC 版本 :1.2 日期 :2017-11-16 编号 :DS0099CN 摘 要 特性 高度集成的 SoC 芯片 ARM 9,256KB SRAM 和 2MB Flash 支持 802.11b/g/n 无线局域网 高效率功率放大器 (PA) 丰富的 I/O 接口微处理器 (MCU) 内核 :ARM 9 主频 :120MHz 内存 256KB SRAM 2MB Flash

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

规格书 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量

规格书     机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 http://www.zzydz.cn www.zzydz.com 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 : 送样日期 : 批准 审核 客户签名 客户签名 拟制审核批准 第 2 页共 9 页 CONTENTS 1.0

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档 L6 LoRa TM 通信模块规格书 巧而美 唯匠心集成 智而快 享极速运行 版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使用许可,

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

Q / 24

Q / 24 SunCorp Q68 Q68... 3... 3... 4... 6... 6... 7... 10... 10... 10... 12... 13... 14... 14... 15... 15... 17... 19... 20... 22... 24 2 / 24 Q68 SIM 84 x 44 x 23 85 8 8 8 16 128 x 160 4k 4 6 4 12 96 x 64 GSM

More information

X523_Book.book

X523_Book.book USB TFT +/- / / 待机屏 SIM R * ; 捷径菜单 1 >>> 2, 按键 (, ) / / / L 1 图标与符号 图标描述功能 Wap Wap push ( ) GSM GPRS GSM GPRS 2 ...........................4.............................. 4 Micro SD ( )................

More information

WH-BLE103硬件设计手册V1.0.0.doc

WH-BLE103硬件设计手册V1.0.0.doc WH-BLE103 硬件设计手册 文件版本 :V1.0.0 第 1 页共 17 页 目录 WH-BLE103 硬件设计手册... 1 1 关于文档... 3 1.1. 文档目的... 3 1.2. 产品外观... 3 1.3. 参考文档列表... 3 2 产品简介... 4 2.1. 基本参数... 4 2.2. 模块应用框图... 4 2.3. 引脚定义... 5 2.4. 开发套件... 6 3

More information

《手机维修原理及维修实例详解》目录

《手机维修原理及维修实例详解》目录 1 IC MP3 MP4 2 CPU MP3 MP4 13M 1 LCD 2 13MHz 3 CONTACT SERVICE T SIM MP3 MP4 2 4 FLASH ROM EEPROM RAM SIM CPU CPU RST CPU FLASH Flash CE CS Flash DE WE RST Flash Flash FLASH EEPROM Phone Locked CON-TACTSERVICE

More information

修订历史 版本日期原因 V /03/17 创建文档 i

修订历史 版本日期原因 V /03/17 创建文档 i Data Sheet DS01010101 V1.00 Date: 2016/03/17 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

12LB3.mps

12LB3.mps 第 3 章 艺 产 品 学 习 目 标 艺, 简 称 为 THT 艺 (Through Hole Technology) 它 是 指 将 元 器 件 引 出 脚 入 印 制 路 板 相 应 安, 然 后 与 印 制 路 板 面 路 焊 盘 焊 固 定 一 种 联 艺 本 章 主 要 介 绍 程 图 辅 助 材 料 及 配 具 导 线 端 头 处 理 元 件 引 脚 成 形 和 THT 元 件 焊,

More information

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074>

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074> 射 频 与 数 模 混 合 类 高 速 PCB 设 计 课 题 内 容 理 清 功 能 方 框 图 网 表 导 入 PCB Layout 工 具 后 进 行 初 步 处 理 的 技 巧 射 频 PCB 布 局 与 数 模 混 合 类 PCB 布 局 无 线 终 端 PCB 常 用 HDI 工 艺 介 绍 信 号 完 整 性 (SI) 的 基 础 概 念 射 频 PCB 与 数 模 混 合 类 PCB

More information

规格说明

规格说明 24 GSX-540K GSX-540K GSX-540K FP-530K KY-540K FP-5400K. 2. 3. ........2... 3... 7 2.... 7 2..... 7 2..2... 9 2..3... 0 2..4... 2..5... 6 2.2... 7 2.2.... 7 2.2.2... 9... 5 3.... 5 3.2... 52 3.3... 52 3.4...

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

xxxx应用笔记

xxxx应用笔记 Track Number: MXCHIP Co., Ltd Version: 1.1 2017.9.11 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的 获取更多帮助

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

1 MTK 2 MTK 3 MTK

1 MTK 2 MTK 3 MTK 1 MTK 2 MTK 3 MTK MTK MTK MTK MTK 1997, ( 10 DVD : 2000, 3G GSM/GPRS/WCDMA/ EDGE Multimedia Phone 2.5G MT6218/ MT6217 GSM/GPRS Multimedia Platform MT6205 GSM Low-End Platform MT6219 GSM/GPRS Video Platform

More information

untitled

untitled MC8630 MC8630 1.6 MC8630 C MC8630 Copyright 2008 by ZTE Corporation 2008 12 1 1 MC8630 CDMA/GPRS 1 2 3PCB 4 E-MAIL module.ztemt.com.cn module@zte.com.cn 0755-86140899 2 MC8630 MC8630 C MC8630 C 5 V1.1

More information

版 权 所 有 华 为 技 术 有 限 公 司 2011 保 留 一 切 权 利 非 经 华 为 技 术 有 限 公 司 书 面 同 意, 任 何 单 位 和 个 人 不 得 擅 自 摘 抄 复 制 本 手 册 内 容 的 部 分 或 全 部, 并 不 得 以 任 何 形 式 传 播 本 手 册 中

版 权 所 有 华 为 技 术 有 限 公 司 2011 保 留 一 切 权 利 非 经 华 为 技 术 有 限 公 司 书 面 同 意, 任 何 单 位 和 个 人 不 得 擅 自 摘 抄 复 制 本 手 册 内 容 的 部 分 或 全 部, 并 不 得 以 任 何 形 式 传 播 本 手 册 中 T5050 G3 手 机 用 户 指 南 版 权 所 有 华 为 技 术 有 限 公 司 2011 保 留 一 切 权 利 非 经 华 为 技 术 有 限 公 司 书 面 同 意, 任 何 单 位 和 个 人 不 得 擅 自 摘 抄 复 制 本 手 册 内 容 的 部 分 或 全 部, 并 不 得 以 任 何 形 式 传 播 本 手 册 中 描 述 的 产 品 中, 可 能 包 含 华 为 技 术

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

Tel: , Fax: STR-30 STR bps 2400bps 4800bps 9600bps 19200bps 38400bps 76800bps

Tel: , Fax: STR-30 STR bps 2400bps 4800bps 9600bps 19200bps 38400bps 76800bps Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TL +86-021-50273226 50807785 13816690692 FX:+86-021-50807785-807 167 -mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

中国联通定制手机

中国联通定制手机 中 国 联 通 定 制 手 机 用 户 手 册 Lenovo A780 目 录 第 1 章 安 全 和 维 护 -----------------------------------------------------------------------2 第 2 章 安 装 和 充 电 -----------------------------------------------------------------------5

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

D612_ZHS_Book.book

D612_ZHS_Book.book TFT +/- GSM / CDMA / / / USB / G GSM / M O L R / GSM C E CDMA / / CDMA / / / 1 ( > ) Wap push CDMA GSM ( ) CDMA GSM CDMA 2 ...........................4.............................. 4 Micro SD ( )................

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

修订历史版本 日期 原因 V /0617 创建文档 V /07/08 修改更新概述 产品特性及产品资料 V /07/10 更新模块参数, 增加 pcb 布局和回流焊 V /07/11 修改典型应用电路, 连接两个地, 完善电路 V1.0

修订历史版本 日期 原因 V /0617 创建文档 V /07/08 修改更新概述 产品特性及产品资料 V /07/10 更新模块参数, 增加 pcb 布局和回流焊 V /07/11 修改典型应用电路, 连接两个地, 完善电路 V1.0 Data Sheet DS01010101 V1.00 Date: 2015/12/23 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

Microsoft PowerPoint - ............2003

Microsoft PowerPoint - ............2003 手 机 设 计 概 述 ( 一 ) 目 录 数 字 手 机 原 理 框 图 手 机 供 电 系 统 框 图 GSM 手 机 设 计 CDMA 手 机 设 计 手 机 功 率 放 大 器 设 计 手 机 电 路 组 成 Base-Band Subsystem (Digital) RF Subsystem (Analog) Antenna 占 1/3 占 2/3 数 字 手 机 原 理 框 图 手 机

More information

xxxx应用笔记

xxxx应用笔记 Track Number: AN0049CN MXCHIP Co., Ltd Version: 1.0 2017.8.10 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的

More information

F322_Book.book

F322_Book.book : 2.0MP USB / +/- /, / QWERTY SIM ( * * * = = =! \, ) / / / [ ] 1 QQ QQ UCWEB MSN UCWEB MSN SIM1 SIM2 1 >>> 2 [ > 3 + -, 4 [ > 5, 2 Wap Wap push GSM GPRS ( ) GSM GPRS 3 ........................... 5..............................

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

Screw Type (): Rear Panel Mount with Pin 螺纹款 / 面板后装式 插针插座 CGRFD-RFM -SC00 Connector Size 壳体号 WY-0 F Current Rating 额定电流 D Number of Contacts 接触对数,,0,,

Screw Type (): Rear Panel Mount with Pin 螺纹款 / 面板后装式 插针插座 CGRFD-RFM -SC00 Connector Size 壳体号 WY-0 F Current Rating 额定电流 D Number of Contacts 接触对数,,0,, ITEM LIST 概述 0 Series 0 系列 0Pins 0Pins 0 0Pins 0 0 Pins Pins 0Pins 0Pins 0Pins 0 0Pins 0Pins 0 Pins 0 0 Pins Pins + 0Pins CGR CHOGORI 深圳乔合里科技 Tel:+() / www.chogori.cn Screw Type (): Rear Panel Mount with

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32 2.5 208 www.espressif.com ESP32 ESP32 PCB ESP32 ESP32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2 2 2. 2 2.. 3 2... 3 2...2 4 2..2

More information

Kinetis SDK K64 Users Guide

Kinetis SDK K64 Users Guide Freescale Semiconductor, Inc. K64 MAPS 套 件 用 户 指 南 版 本 1.0.0, 12/2014 K64 MAPS 套 件 硬 件 用 户 指 南 目 录 1 引 言... 2 2 概 述... 2 2.1 硬 件 平 台... 2 2.2 MAPS-K64 简 介... 2 2.2.1 MAPS-K64 主 要 功 能 模 块... 3 2.2.2 MAPS-K64

More information

_InfraSuite datacenter_B5_SC_ _print.pdf

_InfraSuite datacenter_B5_SC_ _print.pdf The power behind competitiveness InfraSuite www.deltagreentech.com.cn InfraSuite II 1 ----------------------------------------------------------------------1 1.1 -----------------------------------------------------------------------------------------------

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁 说 明 改 动 的 内 容 很 少, 且 都 是 不 怎 么 重 要 的, 因 此 无 需 过 多 纠 结, 大 家 看 完 后 一 目 了 然 第 6 章 排 序 1 增 加 了 :( 十 ) 外 部 排 序 第 一 部 分 : 数 据 结 构 2 后 面 的 修 改 :( 十 一 ) 各 种 内 部 排 序 算 法 的 比 较 ;( 十 二 ) 内 部 排 序 算 法 的 应 用 外 部 排 序

More information