<4D F736F F D20332E E2D CFB5C1D0D6D0B8DFD0A B2BCBED6B5C44C564453D0C5BAC5B5B9CFE02E646F63>

Size: px
Start display at page:

Download "<4D F736F F D20332E E2D CFB5C1D0D6D0B8DFD0A B2BCBED6B5C44C564453D0C5BAC5B5B9CFE02E646F63>"

Transcription

1 Spartan-3 FPGA 系列中高效 PCB 布局的 LVDS 信号倒相 关键词 :PCB, Spartan-3,LVDS,FPGA 作者 :Nick Sawyer 等 摘要 : 本应用指南说明 Spartan- 3 FPGA 系列如何仅通过在接收器数据通路中加入一个倒 相器即可避免大量使用过孔, 并且在不要求 PCB 重新设计的情况下即可解决意外的 PCB 迹 线交换问题 提要 在比较简单的未大量使用过孔的四层或六层 PCB 上, 可能很难对 LVDS 或 LVPECL 这 类差分信号布线 其原因是, 驱动器上的正极引脚必须驱动接收器上的相应正极引脚, 而负 极引脚则必须驱动接收器的负极引脚 有时迹线以错误的方向结束, 这实际上是向电路中添 加了一个倒相器 本应用指南说明 Spartan?- 3 FPGA 系列如何仅通过在接收器数据通路中 加入一个倒相器即可避免大量使用过孔, 并且在不要求 PCB 重新设计的情况下即可解决意 外的 PCB 迹线交换问题 这项技术同样适用于将 FPGA 用作驱动器的情况, 而且交换迹线 可使得在其他器件或连接器上的 PCB 布线更容易 简介 图 1 所示为一个 PCB 示例, 其中正极引脚驱动接收器的正极引脚, 负极引脚驱动接收 器的负极引脚 如果引脚被意外交换, 那么 PCB 迹线实际上就变成了一个倒相器, 这就可 能需要电路板重新设计

2 图 2 说明 Spartan-3 FPGA 系列如何通过在接收器数据通路中加入必要的倒相器来解 决这一问题 有此功能, 设计人员便可以为简化布线而任意交换迹线 因此,PCB 设计人员 可以为实现最大信号完整性而自由布置差分对 ; 所发生的任何交换都可以在 FPGA 内部得到 校正 如果使用 DCM ( 请参阅 异步输入 ), 则这一布线的自由仅适用于数据线, 而 不 适用于时钟线 交换线路绝对不会损坏器件 吸收倒相器示例 在两种情况下, 可以向前吸收倒相器 : 1 当直接驱动触发器输入时 2 当驱动到逻辑函数中时

3 在第一种情况下,Spartan-3 FPGA 系列在 CLB 触发器的直接 (D) 输入路径上有一个 多路复用器 ( 如图 3 所示 ) 此多路复用器在真实输入信号和补充输入信号之间进行选择 它通过一个配置单元进行配置, 该单元由加载到此器件中的比特流进行初始化 用户在操作 过程中不能访问多路复用器 在第二种情况下, 倒相器直接就被吸收了 例如, 如果一个执行 B = ~A 的倒相器后 面紧跟着一个执行 D = B 与 C 的与门, 那么一种简单的替代方式就是一个无倒相器的执 行 D =~A 与 C 的与门 ; 换句话说, 就逻辑的利用和延迟而言, 倒相器吸收永远是 自由 的 这种倒相器吸收的机制也适用于 IOB 输出触发器 同样, 如果是为简化 PCB 布局所需, 这种吸收可以 自由 地在 FPGA 的输出通路中加入倒相器 如果 FPGA 驱动某接插件, 而 此接插件带有与此 FPGA 的 N 和 P 两个 LVDS 输出直接匹配的预定义引脚, 则此机制可以 起到帮助作用 异步输入

4 图 2 是可以考虑的最简单的示例 接收到的经过交换的 LVDS 信号将用在 FPGA 内部 的组合逻辑中 在此例中, 只需将一个简单的倒相器添加到代码中 此倒相器的代码以 Verilog 和 VHDL 语言表述如下 : Verilog: assign rx_input_fix = ~rx_input; VHDL: rx_input_fix <= not rx_input; 可以将此倒相器吸收到由输入信号驱动的组合逻辑中, 也可以将其吸收到 FPGA 内部的 某个触发器的 D 输入中, 但不能将其吸收到 FPGA 的 IOB 内的触发器 DCM 或 BUFGMUX 时 钟缓冲器中 因此, 引脚交换的灵活性无法用到将用于数据时钟的时钟信号上 如果上述时 钟只是系统的振荡器, 那么可以交换线路并且不需要再倒相, 这样做不会产生任何负面效应 图 4 所示示例中的输入实际上是一条由 n 个信号对组成的总线 其中某些信号对是 正确的, 而其他信号对则为了方便而进行了交换 此例中, 在设计中最好定义一个对应这 n 个输入的掩码 该掩码用来有选择地反转 ( 事实上不包括 与 ) 那些需要校正的位, 但不 反转接收无误的位 在图 4 中, 位 0 和 2 是正确的, 而位 1 需要倒相 在代码中处理校 正的最好方式是使用生成环, 它们可以例化输入缓冲器并且有选择地逐位执行倒相

5 以下 Verilog 代码用生成环来执行接收倒相 : parameter [2:0] SWAP_MASK = 3'b010; genvar i; generate for (i = 0; i <= 2; i = i + 1) begin: loop0 IBUFDS #(IOSTANDARD("LVDS_25"), IBUF_DELAY_VALUE("0"), DIFF_TERM("FALSE")) ibuf_d (I(datain_p[i]), IB(datain_n[i]), O(rx_input[i])); assign rx_input_fix[i] = rx_input[i] ^ SWAP_MASK[i]; end endgenerate 以下 VHDL 代码用生成环来执行接收倒相 :

6 constant SWAP_MASK : std_logic_vector(2 downto 0):= "010"; loop0: for i in 0 to 2 generate ibuf_d: ibufds generic map (IOSTANDARD => "LVDS_25", IBUF_DELAY_VALUE => "0", DIFF_TERM => FALSE) port map (i => datain_p(i), ib => datain_n(i), o => rx_input(i)); rx_input_fix(i) <= rx_input(i) xor SWAP_MASK(i); end generate; 通过修改红色的粗体字符, 可以方便地将此机制扩展到不同的位宽 IOB 输入触发器的同步使用 通常, 输入信号寄存在 IOB 触发器中, 因为高速数据传输是使用 LVDS 的最常见的原 因 可使用下列技术之一来寄存数据 : 单数据速率 (SDR) 技术, 这种技术在 IOB 中只使用一个 ( 通常是正沿触发的 ) 触发器 或者

7 双数据速率 (DDR) 技术, 这种技术使用正沿和负沿都触发的触发器对输入数据线进行 采样 在两种情况下都不可能在输入放大器和触发器之间反转输入信号, 因为 IOB 模块中的 触发器没有可倒相的输入 倒相器需要添加到 IOB 输入触发器后, 它们可以被吸收到其后 的寄存逻辑或组合逻辑中 SDR 示例 图 5 所示为 IOB 中带有一个触发器的 SDR 方案 以下代码说明针对相同生成环示例的 SDR 寄存情况 唯一的变化是增加了触发器例示 Verilog 语言代码 : parameter [2:0] SWAP_MASK = 3'b010;

8 genvar i; generate for (i = 0; i <= 2; i = i + 1) begin: loop0 IBUFDS#(IOSTANDARD("LVDS_25"), IFD_DELAY_VALUE("0"), DIFF_TERM("FALSE")) ibuf_d (I(datain_p[i]), IB(datain_n[i]), O(rx_input[i])); FD fd_d (C(clkin), D(rx_input[i]), Q(rx_input_reg[i])); assign rx_input_fix[i] = rx_input_reg[i] ^ SWAP_MASK[i]; end endgenerate VHDL 语言代码 : constant SWAP_MASK : std_logic_vector(2 downto 0):= "010"; loop0: for i in 0 to 2 generate ibuf_d: ibufds generic map (IOSTANDARD => "LVDS_25", IFD_DELAY_VALUE => "0", DIFF_TERM => FALSE) port map (i => datain_p(i), ib => datain_n(i), o => rx_input(i)); fd_d: fd port map (c => clkin, d => rx_input(i), q => rx_input_reg(i));

9 rx_input_fix(i) <= rx_input_reg(i) xor SWAP_MASK(i); end generate; 通过修改红色的粗体字符, 可以方便地将此机制扩展到不同的位宽 输入 DDR 示例 图 6 所示为接收 DDR 方案, 其中每条输入线生成两条可能需要倒相的内部数据线 对 于 Spartan-3E FPGA 的 DDR 输入, 推荐使用新型的 IDDR2 输入触发器结构 这种结构去 除了从下降沿到下一上升沿的所有通路, 从而可以使内部逻辑更容易设计 要了解有关 IDDR2 的详情, 请参阅 DS312 Spartan-3E FPGA 系列数据手册 以下代码说明针对相同生成环示例的 DDR 寄存接收器情况 唯一的变化是增加了 Spartan-3E FPGA 的 IDDR2 例示 原 Spartan-3 器件需要略微不同的代码, 因为它不包含 IDDR2 结构 所附 ZIP 文件包含完整细节 ( 请参阅 设计文件 )

10 Verilog 语言代码 : parameter [2:0] SWAP_MASK = 3'b010; genvar i; generate for (i = 0; i <= 2; i = i + 1) begin: loop0 IBUFDS#(IOSTANDARD("LVDS_25"), IFD_DELAY_VALUE("0"), DIFF_TERM("FALSE")) ibuf_d (I(datain_p[i]), IB(datain_n[i]), O(rx_input[i])); IDDR2 #(DDR_ALIGNMENT("C0")) fd_ioc(c0(clkin), C1(notclk), D(rx_input[i]), CE(1'b1), R(1'b0), S(1'b0), Q0(rx_input_reg[i+3]), Q1(rx_input_reg[i])); assign rx_input_fix[i] = rx_input_reg[i] ^ SWAP_MASK[i]; assign rx_input_fix[i+3] = rx_input_reg[i+3] ^ SWAP_MASK[i]; end endgenerate VHDL 语言代码 : constant SWAP_MASK : std_logic_vector(2 downto 0):= "010";

11 loop0: for i in 0 to 2 generate ibuf_d : ibufds generic map (IOSTANDARD => "LVDS_25", IFD_DELAY_VALUE => "0", DIFF_TERM => FALSE) port map (i => datain_p(i), ib => datain_n(i), o => rx_input(i)); fd_d : iddr2 generic map (DDR_ALIGNMENT => "C0") port map (c0 => clkin, c1 => notclock, d => rx_input(i), ce => '1', r => '0', s => '0', q0 => rx_input_reg(i+3), q1 => rx_input_reg(i)); rx_input_fix(i) <= rx_input_reg(i) xor SWAP_MASK(i); rx_input_fix(i+3) <= rx_input_reg(i+3) xor SWAP_MASK(i); 通过修改红色的粗体字符, 可以方便地将此机制扩展到不同的位宽 在使用 DDR 技术时, 位操作可能很重要 DDR 生成环示例生成一条总线, 总线的低阶 位聚集在时钟的下降沿, 而其高阶位则聚集在下一个上升沿 图 7 是 DDR 设计模拟运行的 屏幕截图, 其中显示了这种位集中现象 此模拟运行假设所有迹线都是正确的 ( 即没有进行 引脚交换 ), 以清楚地显示具体位的结束位置

12 输出 DDR 示例 图 8 所示为发射器的 DDR 方案, 其中每对发送数据线由 Spartan-3E FPGA 中的 ODDR2 ( 在 Spartan-3 FPGA 中是 FDDRRSE) 机制进行多路复用 这种情况下, 在与极性需要倒相 的 LVDS 输出相关联的每条线路中都增加了倒相器 因为这些倒相器在上述实现过程中被吸 收到输出触发器中, 所以它们不会改变电路的时序

13 以下代码说明生成环示例的发射器 DDR 寄存情形 原 Spartan-3 器件需要略微不同的 代码, 因为它不包含 ODDR2 结构 所附 ZIP 文件包含完整细节 ( 请参阅 设计文件 ) Verilog 语言代码 : parameter [2:0] SWAP_MASK = 3'b010 ; genvar i ; generate for (i = 0 ; i <= 2 ; i = i + 1) begin : loop0 OBUFDS #(IOSTANDARD("LVDS_25")) obuf_d (I(tx_output_reg[i]), O(dataout_p[i]), OB(dataout_n[i])); ODDR2 #(DDR_ALIGNMENT("NONE")) fd_ioc (C0(clkin), C1(notclk), D0(tx_output_fix[i+3]), D1(tx_output_fix[i]), CE(1'b1), R(1'b0), S(1'b0), Q(tx_output_reg[i])) ; assign tx_output_fix[i] = tx_output[i] ^ SWAP_MASK[i] ; assign tx_output_fix[i+3] = tx_output[i+3] ^ SWAP_MASK[i] ; end endgenerate VHDL 语言代码 :

14 constant SWAP_MASK : std_logic_vector(2 downto 0) := "010" ; loop0 : for i in 0 to 2 generate ibuf_d : obufds generic map (IOSTANDARD => "LVDS_25") port map (i => tx_output_reg(i), o => dataout_p(i), ob => dataout_n(i)); fd_d : oddr2 generic map (DDR_ALIGNMENT => "NONE") port map (c0 => clkin, c1 => notclock, d0 => tx_output_fix(i), d1 => tx_output_fix(i+3), ce => '1', r => '0', s => '0', q => tx_output_reg(i)); tx_output_fix(i) <= tx_output(i) xor SWAP_MASK(i) ; tx_output_fix(i+3) <= tx_output(i+3) xor SWAP_MASK(i) ; end generate ; 通过修改红色的粗体字符, 可以方便地将此机制扩展到不同的位宽 如上所述, 在使用 DDR 技术时, 位操作可能很重要 DDR 生成环示例生成一条总线, 总线的低阶位在时钟的下降沿上发送, 而其高阶位则在下一个上升沿上发送 设计文件 本应用指南介绍的各种接收器和发射器示例的设计文件是针对所有 Spartan-3 器件和 Spartan-3E 系列器件编写的 可从 Xilinx 网站 (xapp491zip) 获得 Verilog 和 VHDL

15 两种语言的设计文件 所附 readmetxt 文件提供了最新详情 结论 用 LVDS 进行设计时, 有计划地谨慎使用 Spartan-3 FPGA 系列资源, 可以大幅度降低 PCB 布局的复杂性, 同时可以提高整体电路板信号的完整性 对于器件中集成的 LVDS 接收 器和 LVDS 发射器来说也是如此, 但输入时钟引脚除外 ; 输入时钟引脚必须具有正确的极性 如欲了解更多赛灵思技术文档, 请访问 来源 :

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

寻医问药指南(十七)

寻医问药指南(十七) ... 1... 1... 49... 120... 131... 131... 146... 171... 180... 181... 181 I 518105 0755-7713177 0755-2425239 0755-2263882 1 0755-2409255 518102 0755-7799045 518002 0755-2220607 2 518101 0755-7788068 518101

More information

SB 綱 領 : (1) 消 防 服 務 管 制 人 員 : 就 年 度 需 要 特 別 留 意 的 事 項 中, 當 局 提 到 年 度 內, 消 防 處 會 啟 用 啟 德 新 建 並 設 有 救 護 設 施 的 消 防 局, 請 告 知 有 關

SB 綱 領 : (1) 消 防 服 務 管 制 人 員 : 就 年 度 需 要 特 別 留 意 的 事 項 中, 當 局 提 到 年 度 內, 消 防 處 會 啟 用 啟 德 新 建 並 設 有 救 護 設 施 的 消 防 局, 請 告 知 有 關 SB233 4865 綱 領 : (3) 救 護 服 務 管 制 人 員 : 處 方 表 示, 在 2013-14 年 度 會 啟 用 救 護 信 息 宣 傳 車, 以 繼 續 加 強 宣 傳 教 育 市 民 適 當 地 使 用 緊 急 救 護 服 務, 其 詳 情 及 為 何? 提 問 人 : 陳 家 洛 議 員 救 護 信 息 宣 傳 車 於 2013 年 年 初 投 入 服 務, 消 防 處

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

Course Agenda

Course Agenda 1 2011 赛灵思 ( Xilinx ) FPGA 最新课程技术研讨会 西安 1I/O Resources Xilinx 中国授权培训伙伴 - 依元素科技有限公司 www.e-elements.com 2 Course Objectives After completing this module, you will be able to: Describe the I/O features in

More information

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp V1.0 FPGA 62 FPGA ( ) 2001/09/15 yyyy/mm/dd yyyy/mm/dd FPGA 2001/09/1 5 1.00 2001-9-19 263 FPGA 1... 8 2... 8 2.1... 9 2.2... 10 2.3 Coding Style... 10 3 FPGA VirtexII... 10 3.1 Coding Style... 11 3.1.1

More information

天仁期末個人報告1.PDF

天仁期末個人報告1.PDF ...3...3...3...4...4...6...6...8...10...11...12...12...12... 13...13...14...14...14...15...15... 17... 18...18...19...19...20...20...21...22...22...22...23...23...24 ... 24... 26... 27 2 3 4 5 6 7 8 9

More information

Basic Virtex-II Architecture

Basic Virtex-II Architecture Virtex-II 基本架构 目标 完成此模块的学习后 你将会 了解 Virtex-II FPGA 的基本架构资源 Virtex-II 基本架构 - 2-3 概览 综述 CLB 资源 I/O 资源 Virtex-II 的其它特性 Virtex-II Pro 的特性 总结 附录 Virtex-II 基本架构 - 2-4 FPGA 架构的综述 Xilinx 所有的 FPGA 都包含有相同的基本资源 可配置逻辑块

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 EDA 和 Verilog HDL 专题 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 1 电子设计自动化软件 CAD, Computer-aid Design EDA, Electronic Design Automatic

More information

全 民 普 法 和 守 法 是 依 法 治 国 的 长 期 基 础 性 工 作 党 的 十 八 大 以 来, 以 习 近 平 同 志 为 总 书 记 的 党 中 央 对 全 面 依 法 治 国 作 出 了 重 要 部 署, 对 法 治 宣 传 教 育 提 出 了 新 的 更 高 要 求, 为 法 治

全 民 普 法 和 守 法 是 依 法 治 国 的 长 期 基 础 性 工 作 党 的 十 八 大 以 来, 以 习 近 平 同 志 为 总 书 记 的 党 中 央 对 全 面 依 法 治 国 作 出 了 重 要 部 署, 对 法 治 宣 传 教 育 提 出 了 新 的 更 高 要 求, 为 法 治 ib 7 7 2 好 中 共 广 东 省 委 文 件 * 粤 发 2016J 18 号 中 共 广 东 省 委 广 东 省 人 民 政 府 转 发 省 委 宣 传 部 省 司 法 厅 关 于 在 全 省 公 民 中 开 展 法 治 宣 传 教 育 的 第 七 个 五 年 规 划 (2016 2020 年 ) 的 通 知 各 地 级 以 上 市 党 委 人 民 政 府, 各 县 ( 市 区 ) 党 委

More information

教学输入与学习者的语言输出 温晓虹 本文从三个方面探讨了语言的输入与输出的关系 首先从理论研究的角度讨 论了从语言输入到语言输出的习得过程 实验研究表明 输入的语言素材必须被学习者所接收 即使接收了的内容也并不会自动进入中介语的体系 而是需要进一步对输入语言进行 分解 归类等分析性与综合性的处理 在语言 内化 的基础上 学习者的中介语系统才能 够不断地得到重新组合 趋于目的语 另外 学习者在语言输出前和输出时需要调节

More information

( 总 第 151 期 ) ( 月 刊 ) 东 莞 市 人 民 政 府 主 办 2016 年 2 月 24 日 出 版 目 录 市 政 府 文 件 东 莞 市 城 市 轨 道 交 通 运 营 管 理 办 法 ( 东 府 令 第 145 号 ) 1 东 莞 市 人 民 政 府 关 于 公 布 市 直 部 门 权 责 清 单 的 决 定 ( 东 府 2015 129 号 ) 6 关 于 大 力 发 展

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

信息

信息 信 息 与 算 机 工 院 算 机 科 与 技 术 人 才 培 养 方 案 ( 代 码 :080901) 一 培 养 目 标 培 养 适 应 国 家 建 设 发 展 需 要, 德 智 体 全 面 发 展, 具 有 良 好 的 科 素 养 和 丰 厚 的 人 文 底 蕴, 掌 握 扎 的 算 机 科 核 心 基 础 理 论 知 识 和 主 流 工 技 术, 了 解 本 的 前 沿 发 展 现 状 和

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

第四章 102 图 4唱16 基于图像渲染的理论基础 三张拍摄图像以及它们投影到球面上生成的球面图像 拼图的圆心是相同的 而拼图是由球面图像上的弧线图像组成的 因此我 们称之为同心球拼图 如图 4唱18 所示 这些拼图中半径最大的是圆 Ck 最小的是圆 C0 设圆 Ck 的半径为 r 虚拟相机水平视域为 θ 有 r R sin θ 2 4畅11 由此可见 构造同心球拼图的过程实际上就是对投影图像中的弧线图像

More information

, , %

, , % [] [] [] 280,000 8235 71 2009 341,000 2013569,000 13.7% 20092013 60 50 40 34.1 40.2 47.3 51.9 56.9 30 20 10 0 2009 2010 2011 2012 2013 2013 72 2009269,000 2013345,000 6.4%15,200 20092013 400 350 300 250

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

XDC约束技巧-IO篇(下)1.1

XDC约束技巧-IO篇(下)1.1 XDC 约束技巧之 I/O 篇 ( 下 ) XDC 约束技巧之时钟篇 中曾对 I/O 约束做过简要概括, 相比较而言,XDC 中的 I/O 约束虽然形式简单, 但整体思路和约束方法却与 UCF 大相径庭 加之 FPGA 的应用特性决定了其在接口上有多种构建和实现方式, 所以从 UCF 到 XDC 的转换过程中, 最具挑战的可以说便是本文将要讨论的 I/O 约束了 继 XDC 约束技巧之 I/O 篇

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

注 册 资 本 :12.5 亿 元 人 民 币 公 司 类 型 : 股 份 有 限 公 司 ( 非 上 市 ) 法 定 代 表 人 : 李 留 法 经 营 范 围 : 控 股 投 资 ; 计 算 机 及 软 件 应 用 服 务 ; 科 技 服 务 ; 机 械 设 备 及 矿 山 设 备 销 售 非

注 册 资 本 :12.5 亿 元 人 民 币 公 司 类 型 : 股 份 有 限 公 司 ( 非 上 市 ) 法 定 代 表 人 : 李 留 法 经 营 范 围 : 控 股 投 资 ; 计 算 机 及 软 件 应 用 服 务 ; 科 技 服 务 ; 机 械 设 备 及 矿 山 设 备 销 售 非 天 瑞 集 团 股 份 有 限 公 司 公 司 债 券 2015 年 度 中 期 报 告 上 交 所 : 14 天 瑞 02 124815.SH 14 天 瑞 03 124977.SH 15 天 瑞 01 127053.SH 15 天 瑞 02 127099.SH 银 行 间 : 14 天 瑞 集 团 债 02 1480375.IB 14 天 瑞 集 团 债 03 1480520.IB 15 天 瑞

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63>

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63> 集成电路与智能系统创新基地测试题 (2009 暑期 ) 班级姓名电话 email: 模拟电子技术部分 一 电路如图所示 设 A ~A 4 为理想运放, 三极管 T 的 V CES =0,I CEO =0.A ~A 4 各组成什么电路? 2. 设 t = 0 时, 电容器上的初始电压 v C (0) = 0 求 t = s 和 t = 2 s 和 E 各点对地的电压 时,A B C D.A 组成减法运算电路,A

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Microsoft PowerPoint - 103-2會計學系選課規定(含重補修)

Microsoft PowerPoint - 103-2會計學系選課規定(含重補修) 103 學 年 度 第 2 學 期 會 計 學 系 暨 研 究 所 選 課 相 關 規 定 會 計 學 系 暨 研 究 所 選 課 規 定 選 課 請 同 學 自 行 上 網, 依 第 一 階 段 選 課 依 教 務 處 規 定 日 期 上 網 選 課 ; 第 二 階 段 選 課 依 教 務 處 規 定 日 期 上 網 選 課 ( 不 分 年 級 順 序 ), 學 生 所 選 課 程 不 得 超 過

More information

* r p . 4 6 12 3 5 7 8 9bk bm btbsbrbqbp bo bn bl [ ] [ ] [ ] [ ] [SET] 1 2 3 4 5 6 7. cmcl ck 8 9 0 bk bl bm bn bo 1 2 1 2+ - bp bq 8 2 4 6 br r bs p bt ck cl cm 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

PowerPoint Presentation

PowerPoint Presentation 数字集成电路设计 时序逻辑电路设计 版权声明 : 本讲义中部分图表引用自 http://bwrc.eecs.berkeley.edu/icbook/index. htm 网站提供的教学素材 章节目录 简介 静态锁存器和寄存器 动态锁存器和寄存器 流水线技术 非双稳态时序电路 时钟策略选择 小结 时序逻辑 Inputs Current State COMBINATIONAL LOGIC Registers

More information

热 门 点 评 A 股 收 盘 综 述 市 场 聚 焦 : 行 业 主 题 涨 幅 资 金 净 流 入 涨 幅 资 金 净 流 入 煤 炭 采 选 塑 胶 制 品 S 股 次 新 股 1-5 排 名 ( 涨 幅 ) 化 肥 行 业 电 子 信 息 舟 山 群 岛 深 成 500 造 纸 印 刷 煤

热 门 点 评 A 股 收 盘 综 述 市 场 聚 焦 : 行 业 主 题 涨 幅 资 金 净 流 入 涨 幅 资 金 净 流 入 煤 炭 采 选 塑 胶 制 品 S 股 次 新 股 1-5 排 名 ( 涨 幅 ) 化 肥 行 业 电 子 信 息 舟 山 群 岛 深 成 500 造 纸 印 刷 煤 策 略 研 究 A 股 午 盘 解 读 证 券 分 析 师 : 袁 泉 证 书 编 号 : S1160514090001 证 券 分 析 师 : 邬 玮 飚 证 书 编 号 : S1160515110001 联 系 人 : 张 加 代 电 话 :021-36537949 相 关 研 究 : 市 场 平 淡 如 水 成 交 量 再 创 四 月 新 低 201600928 小 幅 收 涨 不 该 弱 势

More information

序号

序号 中 国 教 育 学 会 十 一 五 立 项 课 题 ( 结 题 目 录 ) 序 号 课 题 编 号 课 题 名 称 课 题 负 责 人 课 题 单 位 1 0610001A 科 学 认 读 -- 培 养 儿 童 阅 读 素 养 实 验 研 究 周 德 藩 江 苏 省 教 育 学 会 2 0101002A 地 方 文 化 资 源 的 开 发 和 利 用 尹 少 淳 首 都 师 范 大 学 美 术 学

More information

目 录 高 考 改 革 1. 上 海 浙 江 高 考 方 案 公 布, 对 比 研 判 高 考 改 革 趋 势 (1) 2. 尹 后 庆 : 努 力 释 放 高 考 改 革 对 高 中 教 育 带 来 的 红 利 (7) 3. 解 读 高 考 改 革 方 案 中 的 综 合 素 质 评 价, 技 术 难 点 如 何 破 题 (9) 4. 刘 坚 : 充 分 发 挥 成 长 记 录 的 教 育 功 能

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 The BitCoin Scripting Language 交易实例 交易结构 "result": { "txid": "921a dd24", "hash": "921a dd24", "version": 1, "size": 226, "locktime": 0, "vin": [ ], "vout": [ ], "blockhash": "0000000000000000002c510d

More information

A$1.3600 / US$ DKr6.4500 / US$ - 12 n - 12 n 1.4310-1.4505 12 1.4505 6 1.4505-1.4310 16 1.4310 6 1.4395-1.4505 12 1.4505 3 S1(b / a) + Pb 1 S0(b / a) 1 + Pa S0(b / a) + Pa 1 S1(b / a) 1 + Pb S1(b/

More information

除 上 述 事 项 外, 截 至 2015 年 12 月 31 日, 公 司 面 临 的 风 险 因 素 与 募 集 说 明 书 中 的 第 十 五 条 风 险 与 对 策 章 节 没 有 重 大 变 化 二 公 司 债 券 基 本 情 况 公 司 债 券 简 称 代 码 上 市 或 转 让 的 交

除 上 述 事 项 外, 截 至 2015 年 12 月 31 日, 公 司 面 临 的 风 险 因 素 与 募 集 说 明 书 中 的 第 十 五 条 风 险 与 对 策 章 节 没 有 重 大 变 化 二 公 司 债 券 基 本 情 况 公 司 债 券 简 称 代 码 上 市 或 转 让 的 交 柳 州 市 产 业 投 资 有 限 公 司 公 司 债 券 年 度 报 告 摘 要 (2015 年 ) 一 重 要 提 示 1 投 资 者 在 评 价 和 购 买 本 期 债 券 时, 应 认 真 考 虑 各 项 可 能 对 本 期 债 券 的 偿 付 债 券 价 值 判 断 和 投 资 者 权 益 保 护 产 生 重 大 不 利 影 响 的 风 险 因 素, 并 仔 细 阅 读 募 集 说 明 书

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

⊙内容:常用逻辑电路设计

⊙内容:常用逻辑电路设计 内容 : 常用逻辑电路设计一般组合逻辑电路设计 例 2: 全加器设计 一般时序逻辑电路设计 一 一般组合逻辑电路设计 1 概念 : 组合逻辑电路输出只与当前的输入有关, 而与历史状态无关 即组合逻辑电路是无记忆功能电路 2 常见电路 : (1) 基本门电路 ( 与 非 或等 ) (2) 选择电路 (N 选 1 电路等 ) (3) 编码与解码电路 (3-8 电路 7 段显示 ) (4) 加法电路 (

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

R = R + R + R + R + R + R A 1 2 3 4 5 6 l m l - l 1 m 0.5 0.4 0.4K 1 0.5 R B R I m R A Rm I 10 0.4 RB 0.04K 10 m R = K 50 0.008K c R = K 100 = 0.004k D R = K 250 = 0.0016K 5 3 R 3 1 - R R A 6 R + R 0.4

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路

2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路 数字电路与系统设计 EDA 实验 VHDL 设计初步 主讲 : 杨明磊 Email: mlyang@xidian.edu.cn 雷达信号处理国防科技重点实验室 2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

来 短 短 6 个 交 易 日, 上 证 指 数 已 下 跌 14.76% 至 3016 点, 沪 深 300 指 数 下 跌 14.43% 至 3192 点, 创 业 板 指 数 跌 幅 更 是 达 到 了 22.39% 至 2106 点, 市 场 估 值 泡 沫 在 一 定 程 度 上 将 逐

来 短 短 6 个 交 易 日, 上 证 指 数 已 下 跌 14.76% 至 3016 点, 沪 深 300 指 数 下 跌 14.43% 至 3192 点, 创 业 板 指 数 跌 幅 更 是 达 到 了 22.39% 至 2106 点, 市 场 估 值 泡 沫 在 一 定 程 度 上 将 逐 8 2016/01/12 NO.2016007 基 金 私 募 等 机 构 投 资 者 认 为, 四 大 原 因 致 使 A 股 昨 日 国 内 行 情 回 顾 指 数 名 称 收 盘 幅 度 (%) 上 证 指 数 3016.70-5.33 深 证 成 指 10212.46-6.21 沪 深 300 3192.45-5.03 上 证 国 债 指 数 154.89 0.10 * 旗 下 各 基 金

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information

1 什么是Setup 和Holdup时间?

1 什么是Setup 和Holdup时间? 1 什 么 是 Setup 和 Holdup 时 间? 建 立 时 间 (Setup Time) 和 保 持 时 间 (Hold time) 建 立 时 间 是 指 在 时 钟 边 沿 前, 数 据 信 号 需 要 保 持 不 变 的 时 间 保 持 时 间 是 指 时 钟 跳 变 边 沿 后 数 据 信 号 需 要 保 持 不 变 的 时 间 见 图 1 如 果 不 满 足 建 立 和 保 持 时

More information

Glossary of Terms:

Glossary of Terms: 术语词汇表 术语表 ABEL ABEL 是在 CPLD 设计中曾被广泛使用的一种原始的硬件描述语言建立高级硬件描述方面不如 VHDL 或 Verilog 有效 ABEL 通常被认为在 ADC 模数转换器 一个模拟信号在各个间隔采样 并被建模为数字信号 AGP 高级图形接口关于图形的电压接口标准 Alliance Alliance 是 Xilinx 的与第三方供应商配合之协议的名称在此协议下相互共享信息以在

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

1 重 要 提 示 基 金 管 理 人 的 董 事 会 及 董 事 保 证 本 报 告 所 载 资 料 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 内 容 的 真 实 性 准 确 性 和 完 整 性 承 担 个 别 及 连 带 责 任 基 金 托 管 人 中 国

1 重 要 提 示 基 金 管 理 人 的 董 事 会 及 董 事 保 证 本 报 告 所 载 资 料 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 内 容 的 真 实 性 准 确 性 和 完 整 性 承 担 个 别 及 连 带 责 任 基 金 托 管 人 中 国 中 证 主 要 消 费 交 易 型 开 放 式 指 数 证 券 投 资 基 金 2015 年 第 4 季 度 报 告 2015 年 12 月 31 日 基 金 管 理 人 : 汇 添 富 基 金 管 理 股 份 有 限 公 司 基 金 托 管 人 : 中 国 工 商 银 行 股 份 有 限 公 司 报 告 送 出 日 期 :2016 年 1 月 21 日 第 1 页 共 11 页 1 重 要 提 示

More information

人类学理论与实践

人类学理论与实践 阮艳萍 在今天轰轰烈烈的遗产运动中 各类传承和保护主体纷纷登场 除了传统的民间的传承人之外 中央和地方政府 学者 商人 新闻媒体等也都纷纷加入了这场博弈 与数字化技术同步进入这场运动的还有一类新型主体 那就是 数字传承人 他们是民族文化遗产传播 生存和发展的又一类新型主体 他们的数字传承活动 使文化遗产从唯一 不可共享和不可再生的变成了无限 可共享和可再生的 文化遗产 数字传承人 民间传承人 遗产表述

More information

<4D6963726F736F667420576F7264202D20B1E7BAD1A9FA2EAA4CABDBA7C22EBC42A87CC6462EC0B9A767AE622EC1E9A7BBAB475F6F6B5F2E646F63>

<4D6963726F736F667420576F7264202D20B1E7BAD1A9FA2EAA4CABDBA7C22EBC42A87CC6462EC0B9A767AE622EC1E9A7BBAB475F6F6B5F2E646F63> 特 殊 教 育 教 師 初 任 教 職 歷 程 之 探 究 / 梁 碧 明 林 彥 岑 劉 育 靈 戴 君 宴 鍾 宏 亮 71 特 殊 教 育 教 師 初 任 教 職 歷 程 之 探 究 梁 碧 明 1 林 彥 岑 2 劉 育 靈 2 戴 君 宴 2 2 鍾 宏 亮 1 國 立 東 華 大 學 特 殊 教 育 學 系 助 理 教 授 2 國 立 東 華 大 學 特 殊 教 育 學 系 實 習 教

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

数字逻辑设计2013

数字逻辑设计2013 第四讲 Verilog, FPGA, Lab 佟冬 tongdong@pku.edu.cn http://mprc.pku.edu.cn/courses/digital/28spring 课程回顾 : 布尔函数 将一个开关函数 f 对于其变量每种可能取值的结果用表的形式表示 对应逻辑 真 ; 对应逻辑 假 三个基本函数 : 与 (AND) 或 (OR) 非 (NOT) 的真 值表 a b f(a,

More information

利用 Xilinx FPGA 和存储器接口生成器简化 Xilinx 存储器接口,白皮书

利用 Xilinx FPGA 和存储器接口生成器简化 Xilinx 存储器接口,白皮书 白皮书 :Virtex-4 Virtex-5 和 Spartan-3 系列 FPGA WP260 (v1.0) 2007 年 2 月 16 日 利用 Xilinx FPGA 和存储器接口生成器简化存储器接口 作者 :Adrian Cosoroaba FPGA 设计人员在满足关键时序余量的同时力争实现更高性能, 在这种情况下, 存储器接口的设计是一个一向构成艰难而耗时的挑战 Xilinx FPGA 提供

More information

Microsoft Word - caa2.doc

Microsoft Word - caa2.doc 織 辦 事 細 則 O 二 -O 一 B 交 通 部 民 用 航 空 局 所 屬 航 空 站 辦 事 細 則 中 華 民 國 六 十 二 年 一 月 十 九 日 民 用 航 空 局 企 法 (62) 字 第 OO 三 八 四 號 令 訂 定 中 華 民 國 八 十 八 年 七 月 十 六 日 民 用 航 空 局 企 法 (88) 字 第 OOO 一 號 令 修 正 中 華 民 國 八 十 八 年 十

More information

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2

内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 模拟与数字电路 Analog and Digital Circuits 09_Verilog HDL(1) 内容提纲 基本语法规则 变量数据类型 程序基本结构 描述组合逻辑电路 2015/10/24 模拟与数字电路 Verilog HDL(1) 2 硬件描述语言概述 HDL ( Hardware Description Languag ) 是一种以文本形式来描述数字系统硬件的结构和行为的语言 可以从多种抽象层次对数字系统建模

More information

( 二 ) 票 面 金 额 及 发 行 价 格 本 次 非 公 开 发 行 的 公 司 债 券 每 张 面 值 100 元, 按 面 值 平 价 发 行 ( 三 ) 发 行 对 象 及 向 公 司 股 东 配 售 的 安 排 本 次 发 行 为 向 不 超 过 200 名 合 格 投 资 者 以 非

( 二 ) 票 面 金 额 及 发 行 价 格 本 次 非 公 开 发 行 的 公 司 债 券 每 张 面 值 100 元, 按 面 值 平 价 发 行 ( 三 ) 发 行 对 象 及 向 公 司 股 东 配 售 的 安 排 本 次 发 行 为 向 不 超 过 200 名 合 格 投 资 者 以 非 证 券 代 码 :600601 证 券 简 称 : 方 正 科 技 公 告 编 号 : 临 2015-037 方 正 科 技 集 团 股 份 有 限 公 司 非 公 开 发 行 公 司 债 券 预 案 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实 性 准

More information

32 eNews

32 eNews 1 7 10 2011 11 2011 87% 99% 90% 2011 2012 2012 5 5 Plus 2011 2011 2011 25 Mercury ( ) 2011 1 ZIP 2 3 4 28 21 28 1 2 ZIP 3 4 2012 1 19 Excel 1/12 58% 56% 2011 9 10 87% (%) 98.6 89.6 86.0 85.6 2011 11 17

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

目 录 学 校 概 况... 1 报 告 说 明... 4 第 一 篇 : 毕 业 生 就 业 基 本 情 况... 5 一 毕 业 生 的 规 模 和 结 构... 5 ( 一 ) 总 体 规 模... 5 ( 二 ) 院 系 及 专 业 结 构... 5 二 就 业 率 及 毕 业 去 向...

目 录 学 校 概 况... 1 报 告 说 明... 4 第 一 篇 : 毕 业 生 就 业 基 本 情 况... 5 一 毕 业 生 的 规 模 和 结 构... 5 ( 一 ) 总 体 规 模... 5 ( 二 ) 院 系 及 专 业 结 构... 5 二 就 业 率 及 毕 业 去 向... 四 川 工 业 科 技 学 院 2015 届 毕 业 生 就 业 质 量 年 度 报 告 二 〇 一 五 年 十 二 月 I 目 录 学 校 概 况... 1 报 告 说 明... 4 第 一 篇 : 毕 业 生 就 业 基 本 情 况... 5 一 毕 业 生 的 规 模 和 结 构... 5 ( 一 ) 总 体 规 模... 5 ( 二 ) 院 系 及 专 业 结 构... 5 二 就 业 率

More information

数字逻辑设计2013

数字逻辑设计2013 第十一讲锁存器和触发器 Latch and Flip-flop 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/24spring 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 : 输入 输出 函数公式 原理图 Verilog

More information

lecture21

lecture21 Lecture 21: CPU - Datapath and Control 中央处理器 : 数据通路和控制器 singlepath2 单周期数据通路的设计 主要内容 CPU 的功能及其与计算机性能的关系 数据通路的位置 单周期数据通路的设计 数据通路的功能和实现 - 操作元件 ( 组合逻辑部件 ) - 状态 / 存储元件 ( 时序逻辑部件 ) 数据通路的定时 选择 MIPS 指令集的一个子集作为

More information

XDC约束技巧-IO篇(上)1.1

XDC约束技巧-IO篇(上)1.1 XDC 约束技巧之 I/O 篇 ( 上 ) XDC 约束技巧之时钟篇 中曾对 I/O 约束做过简要概括, 相比较而言,XDC 中的 I/O 约束虽然形式简单, 但整体思路和约束方法却与 UCF 大相径庭 加之 FPGA 的应用特性决定了其在接口上有多种构建和实现方式, 所以从 UCF 到 XDC 的转换过程中, 最具挑战的可以说便是本文将要讨论的 I/O 约束了 I/O 约束的语法 XDC 中可以用于

More information

(CIP) 50 / :, I SB N 50 : : : : : : : (027 ) : : : : / 32 : : : : : I SBN : (, )

(CIP) 50 / :, I SB N 50 : : : : : : : (027 ) : : : : / 32 : : : : : I SBN : (, ) (CIP) 50 / :, I SB N 50 : : : : : : 430074 : (027 )87542624 : : : : 850 1168 1/ 32 : : : 2001 1 : 2001 1 : I SBN 7 5609 : (, ) 50,, 50 50,,,,,,, 50, 50, : 1999 10 50 50 50 :,,,,,,,,,, 50,,,, 50, 50,, 50,

More information

Gowin可配置功能单元(CFU)

Gowin可配置功能单元(CFU) Gowin 可配置功能单元 (CFU) 用户指南 UG288-1.08,2016-10-27 版权所有 2016 广东高云半导体科技股份有限公司 未经本公司书面许可, 任何单位和个人都不得擅自摘抄 复制 翻译本文档内容的部分或全部, 并不得以任何形式传播 免责声明 本文档并未授予任何知识产权的许可, 并未以明示或暗示, 或以禁止发言或其它方式授予任何知识产权许可 除高云半导体在其产品的销售条款和条件中声明的责任之外,

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

Microsoft PowerPoint - IC-3-4-verilog-synthesis

Microsoft PowerPoint - IC-3-4-verilog-synthesis 第四讲 : 硬件描述语言与集成电路设计 ( 续 ) 2005 年 4 月 10 日 Verilog 常见错误分析 Verilog 对逻辑硬件进行建模和模拟的同时, 必须理解代码与硬件实现的联系 如何为综合工具书写 Verilog 代码? 针对综合的模块划分规则与技巧 针对综合的代码编写规则与技巧 Verilog 常见错误分析 Verilog 对逻辑硬件进行建模和模拟的同时, 必须理解代码与硬件实现的联系

More information

untitled

untitled 100 URL 1 2 100 100 100 13 88 18 20 400 OB 25 ( 31 ) ( ) 34 100 G 3 100 ( ) 3 4 5 6 7 8 9 ( ) 5 16 (2004) 1 6 16 1 17 1 17 12 1 1,600 2,400 2 2 1,000 1,300 3 500 600 2,800 4,300 ( 7 ) 10 11 1 800 ( ) 200

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

<4D F736F F D20B9E3CEF7B9A4C9CCD6B0D2B5BCBCCAF5D1A7D4BA D D1A7C4EAD0C5CFA2B9ABBFAAB9A4D7F7C4EAB6C8B1A8B8E6A3A8C9CFCDF8A3A9>

<4D F736F F D20B9E3CEF7B9A4C9CCD6B0D2B5BCBCCAF5D1A7D4BA D D1A7C4EAD0C5CFA2B9ABBFAAB9A4D7F7C4EAB6C8B1A8B8E6A3A8C9CFCDF8A3A9> 广 西 工 商 职 业 技 术 学 院 2014-2015 学 年 信 息 公 开 工 作 年 度 报 告 根 据 高 等 学 校 信 息 公 开 办 法 ( 中 华 人 民 共 和 国 教 育 部 令 第 29 号, 以 下 简 称 办 法 ) 教 育 部 关 于 公 布 高 等 学 校 信 息 公 开 清 单 的 通 知 ( 教 办 函 2014 23 号, 以 下 简 称 清 单 ) 自 治

More information

學 習 目 標 1. 了 解 有 計 畫 的 運 動 之 前, 實 施 身 體 檢 查 的 重 要 性 2. 了 解 熱 身 與 緩 和 運 動 可 以 預 防 運 動 傷 害 3. 了 解 包 紮 護 具 裝 備 與 場 地 器 材 的 維 護, 可 以 避 免 傷 害 發 生 4. 了 解 食

學 習 目 標 1. 了 解 有 計 畫 的 運 動 之 前, 實 施 身 體 檢 查 的 重 要 性 2. 了 解 熱 身 與 緩 和 運 動 可 以 預 防 運 動 傷 害 3. 了 解 包 紮 護 具 裝 備 與 場 地 器 材 的 維 護, 可 以 避 免 傷 害 發 生 4. 了 解 食 Chapter 03 運動傷害的預防 第一節 第二節 第三節 第四節 第五節 體育概論 孫苑梅 編著 身體檢查 熱身與緩和運動 運動安全防護 場地器材環境和衛生安全管理 基礎肌力訓練 學 習 目 標 1. 了 解 有 計 畫 的 運 動 之 前, 實 施 身 體 檢 查 的 重 要 性 2. 了 解 熱 身 與 緩 和 運 動 可 以 預 防 運 動 傷 害 3. 了 解 包 紮 護 具 裝 備 與

More information

新婚夫妇必读(二).doc

新婚夫妇必读(二).doc ... 1...1...2...5...5...6...9...10...12...14...15...17...21...25...27...33...35...36...42 I II...45...47...49...52...54...56...63...70...73...74...77...81...82...86...88...95...97...99... 103... 108...111

More information

<BBF9B4A1CDB3BCC628B5DACBC4B0E629CFB0CCE2BCAF2E6D7073>

<BBF9B4A1CDB3BCC628B5DACBC4B0E629CFB0CCE2BCAF2E6D7073> 第 三 章 统 计 整 理 复 习 提 要 本 章 介 绍 了 统 计 整 理 在 统 计 活 动 中 的 作 用, 统 计 整 理 的 内 容 和 统 计 资 料 审 核 与 汇 总 的 技 术, 重 点 阐 述 了 统 计 分 组 的 理 论 与 方 法 变 量 数 列 的 编 制 统 计 表 的 构 成 和 制 表 规 范, 简 要 介 绍 了 统 计 图 的 基 本 内 容 复 习 中 要

More information

untitled

untitled 1 2012 2 2011 10 18, ( 2011 17 ) 2013 1 1 2004 [2004]2 2012 3 2012 4 [2011]300 1000 40000 3002000 20 30020 300 300 20000 50500 10 10010 100 2012 5 2012 6 1 1. 2. GB/T4754-2011 3. 1 2 3 2001 11 27 33 2012

More information

Motor Control With Xilinx

Motor Control With Xilinx 眼见为实 : 眨眼之间便能完成的高性能机器视觉设计 Copyright 2013 Xilinx 日程安排 Xilinx 机器视觉架构 Machine Vision Architecture 机器视觉的硬件实现 vs 软件实现 Silicon Software VisualApplets 高层次 FPGA 图像处理的解决方案 基于 Zynq-7000 All Programmable SoC 的应用实例

More information