XDC约束技巧-IO篇(下)1.1

Size: px
Start display at page:

Download "XDC约束技巧-IO篇(下)1.1"

Transcription

1 XDC 约束技巧之 I/O 篇 ( 下 ) XDC 约束技巧之时钟篇 中曾对 I/O 约束做过简要概括, 相比较而言,XDC 中的 I/O 约束虽然形式简单, 但整体思路和约束方法却与 UCF 大相径庭 加之 FPGA 的应用特性决定了其在接口上有多种构建和实现方式, 所以从 UCF 到 XDC 的转换过程中, 最具挑战的可以说便是本文将要讨论的 I/O 约束了 继 XDC 约束技巧之 I/O 篇 ( 上 ) 详细描述了如何设置 Input 接口约束后, 我们接着来聊聊怎样设置 Output 接口约束, 并分析 UCF 与 XDC 在接口约束上的区别 Output 接口类型和约束 FPGA 做 Output 的接口时序同样也可以分为系统同步与源同步 在设置 XDC 约束时, 总体思路与 Input 类似, 只是换成要考虑下游器件的时序模型 另外, 在源同步接口中, 定义接口约束之前, 需要用 create_generated_clock 先定义送出的随路时钟 系统同步接口与 Input 的系统同步接口一样,FPGA 做 Output 接口的系统同步设计, 芯片间只传递数据信号, 时钟信号的同步完全依靠板级设计来对齐 所以设置约束时候要考虑的仅仅是下游器件的 Tsu/Th 和数据在板级的延时 Page 1

2 上图是一个 SDR 上升沿采样系统同步接口的 Output 约束示例 其中,-max 后的数值是板级延时的最大值与下游器件的 Tsu 相加而得出,-min 后的数值则是板级延时的最小值减去下游器件的 Th 而来 源同步接口与源同步接口的 Input 约束设置类似,FPGA 做源同步接口的 Output 也有两种方法可以设置约束 方法一我们称作 Setup/Hold Based Method, 与上述系统同步接口的设置思路基本一致, 仅需要了解下游器件用来锁存数据的触发器的 Tsu 与 Th 值与系统板级的延时便可以设置 方法二称作 Skew Based Method, 此时需要了解 FPGA 送出的数据相对于时钟沿的关系, 根据 Skew 的大小和时钟频率来计算如何设置 Output 约束 具体约束时可以根据不同的已知条件, 选用不同的约束方式 一般而言,FPGA 作为输出接口时, 数据相对时钟的 Skew 关系是已知条件 ( 或者说, 把同步数据相对于时钟沿的 Skew 限定在一定范围内是设计源同步接口的目标 ), 所以方法二更常见 Vivado IDE 的 Language Templates 中关于源同步输出接口的 XDC 约束模板包含了以上两种方式的设置方法 方法一 Setup/Hold Based Method System Method 的计算公式如下, 可以看出其跟系统同步输出接口的设置方法完全一样 如果换成 DDR 方式, 则可参考上一篇 I/O 约束方法中关于 Input 源同步 DDR 接口的约束, 用两个可选项 -clock_fall 与 -add_delay 来添加针对时钟下降沿的约束值 Page 2

3 如果板级延时的最小值 ( 在源同步接口中, 因为时钟与信号同步传递, 所以板级延时常常可以视作为 0) 小于接收端寄存器的 Th, 这样计算出的结果就会在 -min 后出现负数值, 很多时候会让人误以为设置错误 其实这里的负数并不表示负的延迟, 而代表最小的延迟情况下, 数据是在时钟采样沿之后才有效 同样的,-max 后的正数, 表示最大的延迟情况下, 数据是在时钟采样沿之前就有效了 这便是接口约束中最容易混淆的地方, 请一定牢记 set_output_delay 中 -max/-min 的定义, 即时钟采样沿到达之前最大与最小的数据有效窗口 如果我们在纸上画一下接收端的波形图, 就会很容易理解 : 用于 setup 分析的 -max 之后跟着正数, 表示数据在时钟采样沿之前就到达, 而用于 hold 分析的 -min 之后跟着负数, 表示数据在时钟采样沿之后还保持了一段时间 只有这样才能满足接收端用于锁存接口数据的触发器的 Tsu 和 Th 要求 方法二 Skew Based Method 为了把同步数据相对于时钟沿的 Skew 限定在一定范围内, 我们可以基于 Skew 的大 小来设置源同步输出接口的约束 此时可以不考虑下游采样器件的 Tsu 与 Th 值 Page 3

4 我们可以通过波形图来再次验证 set_output_delay 中 -max/-min 的定义, 即时钟采样沿 到达之前最大与最小的数据有效窗口 DDR 接口的约束设置 DDR 接口的约束稍许复杂, 需要将上升沿和下降沿分别考虑和约束, 以下以源同步接口为例, 分别就 Setup/Hold Based 方法和 Skew Based 方法举例 方法一 Setup/Hold Based Method Page 4

5 已知条件如下 : 时钟信号 src_sync_ddr_clk 的频率 : 100 MHz 随路送出的时钟 src_sync_ddr_clk_out 的频率 : 100 MHz 数据总线 : src_sync_ddr_dout[3:0] 接收端的上升沿建立时间要求 ( tsu_r ) : 0.7 ns 接收端的上升沿保持时间要求 (thd_r ) : 0.3 ns 接收端的下降沿建立时间要求 (tsu_f) : 0.6 ns 接收端的下降沿保持时间要求 (thd_f ) : 0.4 ns 板级走线延时 :0 ns 可以这样计算输出接口约束 : 已知条件包含接收端上升沿和下降沿的建立与保持时间要求, 所以可以分别独立计算 上升沿采样数据的 -max 是板级延时的最大值加上接收端的上升沿建立时间要求 (tsu_r), 对应的 -min 就应该是板级延时的最小值减去接收端的上升沿保持时间要求 (thd_r); 下降沿采样数据的 -max 是板级延时的最大值加上接收端的下降沿建立时间要求 (tsu_f), 对应的 -min 就应该是板级延时的最小值减去接收端的下降沿保持时间要求 (thd_f) 所以最终写入 XDC 的 Output 约束应该如下所示 : create_clock -period name clk [get_ports src_sync_ddr_clk]; create_generated_clock -name clk_out [get_ports ddr_src_sync_clk_out] \ -source [get_ports src_sync_ddr_clk] -divide_by 1; set_output_delay -clock clk_out -max 0.7 [get_ports src_sync_ddr_dout[*]] ; set_output_delay -clock clk_out -min -0.3 [get_ports src_sync_ddr_dout[*]] ; set_output_delay -clock clk_out -max 0.6 [get_ports src_sync_ddr_dout[*]]-clock_fall -add_delay; set_output_delay -clock clk_out -min -0.4 [get_ports src_sync_ddr_dout[*]] -clock_fall -add_delay; 方法二 Skew Based Method Page 5

6 已知条件如下 : 时钟信号 src_sync_ddr_clk 的频率 : 100 MHz 随路送出的时钟 src_sync_ddr_clk_out 的频率 : 100 MHz 数据总线 : src_sync_ddr_dout[3:0] 上升沿之前的数据 skew ( bre_skew ) : 0.4 ns 上升沿之后的数据 skew ( are_skew ) : 0.6 ns 下降沿之前的数据 skew ( bfe_skew ) : 0.7 ns 下降沿之后的数据 skew ( afe_skew ) : 0.2 ns 可以这样计算输出接口约束 : 时钟的周期是 10ns, 因为是 DDR 方式, 所以数据实际的采样周期是时钟周期的一半 ; 上升沿采样的数据的 -max 应该是采样周期减去这个数据的发送沿 ( 下降沿 ) 之后的数据 skew 即 afe_skew, 而对应的 -min 就应该是上升沿之前的数据 skew 值 bre_skew ; 同理, 下降沿采样数据的 -max 应该是采样周期减去这个数据的发送沿 ( 上升沿 ) 之后的数据 skew 值 are_skew, 而对应的 -min 就应该是下降沿之前的数据 skew 值 bfe_skew 所以最终写入 XDC 的 Output 约束应该如下所示 : set period 10.0; create_clock -period $period -name clk [get_ports src_sync_ddr_clk]; create_generated_clock -name clk_out [get_ports ddr_src_sync_clk_out] \ -source [get_ports src_sync_ddr_clk] -divide_by 1; set_output_delay -clock clk_out -max [expr $period/2 0.2] [get_ports src_sync_ddr_dout[*]] ; set_output_delay -clock clk_out -min 0.4 [get_ports src_sync_ddr_dout[*]] ; set_output_delay -clock clk_out -max [expr $period/2 0.6] [get_ports src_sync_ddr_dout[*]] \ -clock_fall -add_delay ; set_output_delay -clock clk_out -min 0.7 [get_ports src_sync_ddr_dout[*]] -clock_fall -add_delay; 对以上两种方法稍作总结, 就会发现在设置 DDR 源同步输出接口时, 送出的数据是 中心对齐的情况下, 用 Setup/Hold Based 方法来写约束比较容易, 而如果是边沿对齐的情 况, 则推荐使用 Skew Based 方法来写约束 Page 6

7 在 Vivado 中设置接口约束 FPGA 的接口约束种类多变, 远非一篇短文可以完全覆盖 在具体设计中, 建议用户 参照 Vivado IDE 的 Language Templates 其中关于接口约束的例子有很多, 而且也是按 照本文所述的各种分类方法分别列出 具体使用时, 可以在列表中找到对应的接口类型, 按照模板所示调整成自己设计中 的数据, 然后可以方便地计算出实际的约束值, 并应用到 FPGA 工程中去 自 版开始,Vivado 还提供一个 Constraints Wizard 可供用户使用 只需打开综合后的设计, 然后启动 Wizard, 工具便可以根据读到的网表和设计中已有的 XDC 时序约束 ( 也可以任何约束都不加而开始用 Wizard) 一步步指引用户如何添加 Timing 约束, 包括时钟 I/O 以及时序例外约束等等 Constraints Wizard 的调出方法和界面如下图所示 Page 7

8 UCF 与 XDC 的区别 XDC 约束技巧 开篇描述 XDC 基础语法时候曾经提到过设置接口约束时 UCF 与 XDC 的区别, 简单来讲,UCF 是原生的 FPGA 约束, 所以分析问题的视角是 FPGA 本身, 而 XDC 则是从系统设计的全局角度来分析和设置接口约束 以最基础的 SDR 系统同步接口来举例 输入侧的设置,UCF 用的是 OFFSET = IN, 而 XDC 则是 set_input_delay Page 8

9 输出侧的设置,UCF 用的是 OFFSET =OUT, 而 XDC 则是 set_output_delay 如果需要从旧设计的 UCF 约束转到 XDC 约束, 可以参考上述例子 以一个采样周期 来看,UCF 中与 XDC 中设置的接口约束值加起来正好等于一个周期的值 小结 这一系列 XDC 约束技巧 的文章至此暂时告一段落 其实读懂了这几篇涵盖了时钟 CDC 以及接口约束的短文, 基本上已经足够应对绝大多数的 FPGA 设计约束问题 当然在这么短小的篇幅内, 很多问题都无法更加深入地展开, 所以也提醒读者, 需要关注文中推荐的各类 Xilinx 官方文档, 以及 Vivado 本身自带的帮助功能与模板 希望各位能从本文中吸取经验, 少走弯路, 尽快地成为 Vivado 和 XDC 的资深用户, 也希望本文能真正为您的设计添砖加瓦, 达到事半功倍的效果 Ally Zhou 于 Xilinx 上海 Office Page 9

XDC约束技巧-IO篇(上)1.1

XDC约束技巧-IO篇(上)1.1 XDC 约束技巧之 I/O 篇 ( 上 ) XDC 约束技巧之时钟篇 中曾对 I/O 约束做过简要概括, 相比较而言,XDC 中的 I/O 约束虽然形式简单, 但整体思路和约束方法却与 UCF 大相径庭 加之 FPGA 的应用特性决定了其在接口上有多种构建和实现方式, 所以从 UCF 到 XDC 的转换过程中, 最具挑战的可以说便是本文将要讨论的 I/O 约束了 I/O 约束的语法 XDC 中可以用于

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

PowerPoint Presentation

PowerPoint Presentation FPGA 时序约束方法 1 课程安排 时序约束的目的 时序约束的内容 Xilinx FPGA 时序约束方法 Altera FPGA 时序约束方法 时序约束的原则 课程安排 时序约束的目的 时序约束的内容 Xilinx FPGA 时序约束方法 Altera FPGA 时序约束方法 时序约束的原则 什么情况需要做时序约束 当设计仅有一个时钟信号, 且频率低于 50MHz, 逻辑电路简单 (7 级以下 ),

More information

秘密大乘佛法(下)

秘密大乘佛法(下) 印 度 佛 教 史 (25) 101 / 12 / 24 釋 清 德 秘 密 大 乘 佛 法 ( 下 ) 印 度 佛 教 思 想 史 第 十 章 第 三 節 金 剛 乘 與 天 行 一 秘 密 大 乘 稱 金 剛 乘 採 取 表 徵 主 義 1 三 四 五 方 佛 大 乘 佛 法 興 起, 傳 出 十 方 現 在 的 無 數 佛 名 現 在 有 佛 在 世, 可 以 滿 足 佛 涅 槃 後, 佛 弟

More information

國立臺東高級中學102學年度第一學期第二次期中考高一國文科試題

國立臺東高級中學102學年度第一學期第二次期中考高一國文科試題 國 立 臺 東 高 級 中 學 102 學 年 度 第 一 學 期 第 二 次 期 中 考 高 一 國 文 科 試 題 卷 畫 答 案 卡 : 是 否 ( 班 級 座 號 科 目 代 號 畫 錯 扣 5 分 ) 適 用 班 級 :1-1 1-9 1-11 考 試 範 圍 : 梧 桐 樹 醉 翁 亭 記 古 橋 之 戀 樂 府 詩 選 論 語 選 一 默 寫 : 依 原 文 將 正 確 文 句 填 入

More information

!! :!!??!!?!??!!!... :... :'?'?! :' ' :'?' :'?' :'!' : :? Page 2

!! :!!??!!?!??!!!... :... :'?'?! :' ' :'?' :'?' :'!' : :?  Page 2 ??????...! ; --- --- --- : ---!!! ---!! ---?????... http://www.phpget.cn Page 1 !! :!!??!!?!??!!!... :... :'?'?! :' ' :'?' :'?' :'!' : :? http://www.phpget.cn Page 2 ---...?!... :...! :...?!!...!!?!?!...?!

More information

<D2B0D0C4D3C5D1C52DC8CED6BEC7BF202D20BCC7CAC2B1BE>

<D2B0D0C4D3C5D1C52DC8CED6BEC7BF202D20BCC7CAC2B1BE> 任 志 强 回 忆 录 哪 怕 一 个 再 渺 小 的 个 人, 也 可 以 为 一 段 历 史, 甚 至 一 段 很 重 要 的 历 史 背 书! 一 个 变 革 的 时 代, 一 群 过 河 的 人, 一 种 野 心 优 雅 的 实 现! 一 部 民 营 企 业 和 创 业 人 生 的 心 灵 史 生 死 书! 平 时 就 很 敢 说 的 任 志 强, 这 次 说 的 更 赤 裸! 历 时 两

More information

Microsoft Word - Sunday20130908

Microsoft Word - Sunday20130908 權 能 的 來 源 安 童 牧 師 事 工 简 介 : -- 摘 自 安 童 牧 師 2013 年 9 月 8 日 主 日 信 息 神 呼 召 他, 最 初 在 街 上 接 納 養 育 得 痲 瘋 的 孩 子, 神 藉 着 一 个 单 纯 顺 服 的 仆 人 做 了 极 大 的 事 工, 現 在,8000 印 度 兒 童 參 與 他 的 服 事 目 前, 二 萬 三 千 多 兒 童 參 與 他 的

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

上海浦~1

上海浦~1 上 海 浦 发 银 行 参 与 高 等 职 业 教 育 人 才 培 养 年 度 报 告 ( ) 一 校 企 合 作 概 况 ( 一 ) 企 业 简 介 上 海 浦 东 发 展 银 行 股 份 有 限 公 司 ( 以 下 简 称 : 浦 发 银 行 ) 是 1992 年 8 月 28 日 经 中 国 人 民 银 行 批 准 设 立 1993 年 1 月 9 日 开 业 1999 年 在 上 海 证 券

More information

附 件 :2015 年 度 普 通 高 等 学 校 本 科 专 业 备 案 和 审 批 结 果 教 育 部 2016 年 2 月 16 日 抄 送 : 国 家 发 展 改 革 委 财 政 部 国 家 卫 生 计 生 委 国 家 中 医 药 管 理 局 部 内 发 送 : 有 关 部 领 导, 办 公

附 件 :2015 年 度 普 通 高 等 学 校 本 科 专 业 备 案 和 审 批 结 果 教 育 部 2016 年 2 月 16 日 抄 送 : 国 家 发 展 改 革 委 财 政 部 国 家 卫 生 计 生 委 国 家 中 医 药 管 理 局 部 内 发 送 : 有 关 部 领 导, 办 公 教 高 函 [2016]2 号 教 育 部 关 于 公 布 2015 年 度 普 通 高 等 学 校 本 科 专 业 备 案 和 审 批 结 果 的 通 知 各 省 自 治 区 直 辖 市 教 育 厅 ( 教 委 ), 新 疆 生 产 建 设 兵 团 教 育 局, 有 关 部 门 ( 单 位 ) 教 育 司 ( 局 ), 部 属 各 高 等 学 校 : 根 据 普 通 高 等 学 校 本 科 专 业

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 The BitCoin Scripting Language 交易实例 交易结构 "result": { "txid": "921a dd24", "hash": "921a dd24", "version": 1, "size": 226, "locktime": 0, "vin": [ ], "vout": [ ], "blockhash": "0000000000000000002c510d

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

第 一 节 认 识 自 我 的 意 义 一 个 人 只 有 认 识 自 我, 才 能 够 正 确 地 认 识 到 自 己 的 优 劣 势, 找 出 自 己 的 职 业 亮 点, 为 自 己 的 顺 利 求 职 推 波 助 澜 ; 一 个 人 只 有 认 识 自 我, 才 能 在 求 职 中 保 持

第 一 节 认 识 自 我 的 意 义 一 个 人 只 有 认 识 自 我, 才 能 够 正 确 地 认 识 到 自 己 的 优 劣 势, 找 出 自 己 的 职 业 亮 点, 为 自 己 的 顺 利 求 职 推 波 助 澜 ; 一 个 人 只 有 认 识 自 我, 才 能 在 求 职 中 保 持 第 一 篇 知 己 知 彼, 百 战 不 殆 基 本 评 估 篇 第 一 章 认 识 自 我 我 就 是 一 座 金 矿 人 啊, 认 识 你 自 己! 塔 列 斯 ( 希 腊 学 者 ) 要 想 知 道 去 哪 儿, 必 须 先 知 道 你 现 在 在 哪 儿 和 你 是 谁 茜 里 娅. 德 纽 斯 ( 美 国 职 业 指 导 学 家 ) 本 章 提 要 了 解 认 识 自 我 在 职 业 生

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

1 1 大概思路 创建 WebAPI 创建 CrossMainController 并编写 Nuget 安装 microsoft.aspnet.webapi.cors 跨域设置路由 编写 Jquery EasyUI 界面 运行效果 2 创建 WebAPI 创建 WebAPI, 新建 -> 项目 ->

1 1 大概思路 创建 WebAPI 创建 CrossMainController 并编写 Nuget 安装 microsoft.aspnet.webapi.cors 跨域设置路由 编写 Jquery EasyUI 界面 运行效果 2 创建 WebAPI 创建 WebAPI, 新建 -> 项目 -> 目录 1 大概思路... 1 2 创建 WebAPI... 1 3 创建 CrossMainController 并编写... 1 4 Nuget 安装 microsoft.aspnet.webapi.cors... 4 5 跨域设置路由... 4 6 编写 Jquery EasyUI 界面... 5 7 运行效果... 7 8 总结... 7 1 1 大概思路 创建 WebAPI 创建 CrossMainController

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

2009年湖南林业发展报告

2009年湖南林业发展报告 2011 年 湖 南 林 业 发 展 报 告 湖 南 省 林 业 厅 2012 年 8 月 主 任 : 邓 三 龙 副 主 任 : 胡 长 清 委 员 :( 以 姓 氏 笔 画 为 序 ) 2011 年 湖 南 林 业 发 展 报 告 编 撰 委 员 会 卜 柏 青 龙 新 毛 兰 支 远 孙 建 一 刘 跃 进 张 林 李 志 勇 李 林 山 李 书 明 陈 晓 萍 陈 春 华 杨 一 波 周 树

More information

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr 42 3 Vol.42No.3 20126 Microelectronics Jun.2012 FPGA O-QPSK ( 161006) : Quartus IModelSim EP2C35 FPGA Verilog- HDL O-QPSK IP : ; ; :TN91 :A :1004-3365(2012)03-0383-05 DesignofO-QPSK Modem BasedonFPGA TAOBairuiMIAOFengjuanZHANGJinglinZHANG

More information

Microsoft Word - 目次範例-catalog016204.doc

Microsoft Word - 目次範例-catalog016204.doc 財 政 經 濟 篇 行 政 規 則 行 政 院 金 融 監 督 管 理 委 員 會 令 中 華 民 國 99 年 10 月 25 日 金 管 銀 法 字 第 09910003990 銀 行 辦 理 結 構 型 商 品 所 收 本 金 性 質 及 會 計 處 理 之 相 關 事 項 規 定 如 下 : 一 結 構 型 商 品 所 收 之 本 金 不 視 為 存 款, 該 本 金 於 計 算 銀 行 法

More information

Microsoft Word - SDL600-zh-CN_V1.5.doc

Microsoft Word - SDL600-zh-CN_V1.5.doc 用 户 指 南 声 级 计 SD 卡 实 时 数 据 记 录 仪 型 号 SDL600 A 简 介 恭 喜 您 选 购 Extech SDL600 型 声 级 计 该 仪 表 可 显 示 并 存 储 声 压 级 范 围 在 30 至 130 db 之 间 的 读 数 SDL600 型 声 级 计 符 合 ANSI 和 IEC 61672 2 级 标 准, 具 有 A 和 C 频 率 加 权 以 及

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

上海市本科教学质量年度报告

上海市本科教学质量年度报告 上 海 市 本 科 教 学 质 量 年 度 报 告 数 据 内 涵 说 明 V2.0 版 上 海 市 教 委 高 教 处 上 海 喆 思 (2015.07.02) 目 录 一 基 本 统 计 挃 标 说 明... 4 二 挃 标 解 释... 4 1. 全 日 制 在 校 本 科 生 数 及 占 在 校 生 总 数 的 比 例 ( 学 年 )... 4 2. 当 年 本 科 招 生 与 业 总 数

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

untitled

untitled Page 2 Page 3 Page 4 8 10 Page 5 Page 6 9 10 Page 7 Page 8 11 12 Page 9 Page 10 13 Page 11 Page 12 1 10 2 Page 13 Page 14 3100 8,800 100 4100 2,000 Page 15 Page 16 5 1,000 Page 17 Page 18 1 Page 19 Page

More information

當母親禱告時

當母親禱告時 当 母 亲 祷 告 时 时 间 : 40 分 钟 引 言 我 们 一 起 来 祷 告 母 亲 的 工 作 是 世 界 上 最 难 的 工 作 我 们 教 会 有 一 个 爱 家 小 组, 最 近 爱 家 小 组 的 人 越 来 越 多, 有 些 年 轻 爸 妈 从 不 同 的 地 方 来 参 加, 因 为 这 些 父 母 都 觉 得, 养 孩 子 难, 教 孩 子 更 难 我 们 也 是 年 轻 父

More information

Quality of Life 1 TEIJIN CSR Report 2012

Quality of Life 1 TEIJIN CSR Report 2012 2012 CSR 2011 Quality of Life 1 TEIJIN CSR Report 2012 http://www.teijin-china.com 11 3 5 7 8 9 13 15 19 20 21 22 23 25 26 27 28 29 30 30 31 31 32 32 32 33 34 TEIJIN CSR Report 2012 2 3 TEIJIN CSR Report

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

大会资料上传1.PDF

大会资料上传1.PDF 2003 1 4 5 7 10 11 3 1 2003 1 1 2 3 4 5 6 2 2003 1 2001 3 2001 10 15 2002 10 14 2003 10 13 3 2003 1 4 2003 1 5 6 2003 1 2003 1 1 200MHz 7 2003 1 57,163 42,872.25 2 5,312 3 2,983 4 WTO 2,488 8 2003 1 5

More information

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce EUTECH INSTRUMENTS αlpha-do1000 / Dissolved Oxygen Controller αlpha DO1000 MEAS 8.08 mg/l 25.0 o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL B 68X242101 09/98 1 αlpha-do1000 / αlpha-do1000

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

括 教 育 在 内 西 学 得 以 力 推 广 制 颁 发 和 癸卯 学制 实 施 更 使 教 育在 学 校 教 育 占 据 相 当 重 要 地 位 达到 了 前所 未 有 程度 以 学为 例 科 学 时数 占 总 学 时数 比 例 甚至超 过 国 文科 壬寅学 直维持 在 约 辛亥 革命 以 后

括 教 育 在 内 西 学 得 以 力 推 广 制 颁 发 和 癸卯 学制 实 施 更 使 教 育在 学 校 教 育 占 据 相 当 重 要 地 位 达到 了 前所 未 有 程度 以 学为 例 科 学 时数 占 总 学 时数 比 例 甚至超 过 国 文科 壬寅学 直维持 在 约 辛亥 革命 以 后 增 高 规 引 本 郝 江 当 成 北 讲 成 文 取 提 我 硕 最 此 ; 教 研 夕 由 文 按 争 解 课 以 有 本 当 ; 首 我 世 给 降 温? 教 育 政 策 角 度 理 性 思 考 当 前 争 议 郝 成 淼 江 苏 宿 迁 学 院 江 苏 宿 迁 ) 摘 要 前 为 教 育 政 策 实 践 基 础 关 键 词 价 值 判 断 国 出 现 了 有 关 现 象 进 行 了 解 析

More information

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos(

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos( 第一章三角函数 1. 三角函数的诱导公式 A 组 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C ( 中诱导公式 ) B. cos( B C) cos A D. sin( B C) sin A sin60 cos( ) sin( 0 )cos( 70 ) 的值等于

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

96年第2次勘誤(96.08.24新增)

96年第2次勘誤(96.08.24新增) 藥 品 代 碼 A001134 A001135 A001139 A001265 A001266 A001267 A001268 A001269 A001270 A001271 A001272 A001273 A001274 A001275 A001279 A001280 A001281 A001282 A001356 A001357 A001566 A001587 A001588 A001709 A001710

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

营 业, 因 业 务 往 来 关 系, 与 宜 宾 大 小 商 帮 比 较 熟 悉 曹 九 龄 熊 郁 村 便 约 我 参 加 共 同 发 起 熊 曹 二 人 与 我 又 是 世 交, 在 实 业 救 国 思 想 激 励 下, 同 时 也 为 个 人 将 来 发 展 前 途 计, 我 也 欣 然 乐

营 业, 因 业 务 往 来 关 系, 与 宜 宾 大 小 商 帮 比 较 熟 悉 曹 九 龄 熊 郁 村 便 约 我 参 加 共 同 发 起 熊 曹 二 人 与 我 又 是 世 交, 在 实 业 救 国 思 想 激 励 下, 同 时 也 为 个 人 将 来 发 展 前 途 计, 我 也 欣 然 乐 回 忆 四 川 合 众 轮 船 公 司 孙 尊 山 一 四,Jll 合 众 轮 船 公 司 的 成 立 1. 创 办 的 动 机 四 川 宜 宾 ( 旧 叙 州 府 治 ) 据 长 江 上 游 金 沙 江 和 支 流 岷 江 入 口 处, 全 年 均 可 通 航 且 与 云 南 接 壤, 为 云 南 迤 东 一 带 货 物 输 出 输 入 必 经 之 地, 川 西 大 部 物 资 也 由 此 转 运,

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

Ellen G. White Writings

Ellen G. White Writings {CG 17.1} {CG 17.2} {CG 17.3} {CG 18.1} {CG 18.2}? {CG 18.3} {CG 18.4} {CG 18.5} Page 1 of 198 {CG 19.1} {CG 19.2} {CG 19.3} {CG 19.4} {CG 21.1} {CG 21.2} {CG 21.3} {CG 21.4} {CG 21.5} {CG 22.1} Page 2

More information

NT 4

NT 4 NT 4.0 Windows 2003 : Microsoft Windows NT Server 4.0 2004 12 31 Microsoft Windows 2003 Microsoft Windows Server 2003 : 1. 2. 3. 4. Total Cost of Ownership 5. 6. 7. XML Web Services Microsoft Certified

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

TERM 1 TERM 2 TERM 3 CA1 (10%) SA1 (20%) Prelim (70%) MCQ 20 10% MCQ 20 10% Language Use and (1) Grammar (2) Vocabulary (3) Vocabulary Cloze (8m) 28 (

TERM 1 TERM 2 TERM 3 CA1 (10%) SA1 (20%) Prelim (70%) MCQ 20 10% MCQ 20 10% Language Use and (1) Grammar (2) Vocabulary (3) Vocabulary Cloze (8m) 28 ( Standard English Language TERM 1 TERM 2 TERM 3 CA1 (10%) SA1 (20%) Prelim (70%) Writing (15m) (40m) Write a story of at least 150 words about a given topic. A set of 3 unrelated pictures are provided.

More information

教 师 资 格 考 试 之 面 试 备 考 指 导 中 公 教 育 教 师 考 试 研 究 院

教 师 资 格 考 试 之 面 试 备 考 指 导 中 公 教 育 教 师 考 试 研 究 院 课 程 咨 询 电 话 :0531-86554188 教 师 资 格 考 试 之 面 试 备 考 指 导 中 公 教 育 教 师 考 试 研 究 院 面 试 基 础 知 识 -- 面 试 的 概 念 -- 面 试 的 本 质 -- 面 试 的 形 式 -- 考 试 的 现 状 -- 考 核 的 依 据 过 渡 页 请 思 考 面 试 是 什 么? 面 试 的 概 念 面 试 是 指 特 定 的

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Course Agenda

Course Agenda 1 2011 赛灵思 ( Xilinx ) FPGA 最新课程技术研讨会 西安 1I/O Resources Xilinx 中国授权培训伙伴 - 依元素科技有限公司 www.e-elements.com 2 Course Objectives After completing this module, you will be able to: Describe the I/O features in

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

PowerPoint Presentation

PowerPoint Presentation 数字集成电路设计 时序逻辑电路设计 版权声明 : 本讲义中部分图表引用自 http://bwrc.eecs.berkeley.edu/icbook/index. htm 网站提供的教学素材 章节目录 简介 静态锁存器和寄存器 动态锁存器和寄存器 流水线技术 非双稳态时序电路 时钟策略选择 小结 时序逻辑 Inputs Current State COMBINATIONAL LOGIC Registers

More information

目 录 第 一 部 分 : 毕 业 生 就 业 基 本 情 况... 4 一 毕 业 生 的 规 模 和 结 构... 4 ( 一 ) 毕 业 生 的 总 体 规 模... 4 ( 二 ) 毕 业 生 的 结 构... 4 二 就 业 率... 6 三 毕 业 去 向... 6 ( 一 ) 国 (

目 录 第 一 部 分 : 毕 业 生 就 业 基 本 情 况... 4 一 毕 业 生 的 规 模 和 结 构... 4 ( 一 ) 毕 业 生 的 总 体 规 模... 4 ( 二 ) 毕 业 生 的 结 构... 4 二 就 业 率... 6 三 毕 业 去 向... 6 ( 一 ) 国 ( 南 开 大 学 医 学 院 2015 届 毕 业 生 就 业 质 量 发 展 报 告 南 开 大 学 医 学 院 1 目 录 第 一 部 分 : 毕 业 生 就 业 基 本 情 况... 4 一 毕 业 生 的 规 模 和 结 构... 4 ( 一 ) 毕 业 生 的 总 体 规 模... 4 ( 二 ) 毕 业 生 的 结 构... 4 二 就 业 率... 6 三 毕 业 去 向... 6 (

More information

1 4 100 24 16 =16 ( 24 4 ) 100= 16.7% 20. 1. 2. (1) (2) (3) (4) 3. 2 Bass Method 15 18 24 19 19 27 26 24 21 36 31 29.3 24 45 38 35.7 39 53 42 44.7 49 62 54 55 3 3. 4 牙 齒 咬 合 面 的 小 窩 裂 溝, 牙 縫 牙 齒 與 牙 齒

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 5-1 - 1....3 1.1....3 1.2. GV-R9200...3 2....4 2.1....4 2.2....5 2.3....7 3....9 3.1. Windows 98/98SE Windows ME Windows XP 9 3.1.1....

More information

P020151010548621154308.xls

P020151010548621154308.xls 2015 年 所 属 事 公 开 工 作 人 员 需 求 情 况 统 计 表 ( 社 招 ) 序 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 主 管 聘 用 等 名 称 对 象 北 京 市 普 仁 医 院 差 额 拨 款 专 技 中 及 内 科 医 从 事 内 科 诊 疗 工 作 社 会 人 员 5 北 京 市 普 仁 医 院 差 额 拨 款 专 技 中 及 综 合

More information

untitled

untitled Page 2 Page 4 Page 5 Page 6 Page 7 Page 8 Page 9 Page 10 Page 11 Page 12 Page 13 Page 14 äñö Page 15 15 Page 16 15 Page 17 Page 18 0 Page 19 1 Page 20 Page 21 2 Page 22 Page 23 3 Page 24 4 Page 25 Page

More information

2_dvdr3380_97_CT_21221b.indd

2_dvdr3380_97_CT_21221b.indd 64 65 66 ALL 3 67 a STANDBY-ON 2 a b c d e f g h i j k l b TIMER c SYSTEM-MENU d e SELECT f REC g. > h TOP MENU i ANGLE j RETURN k SUBTITLE l REC MODE 68 m n REC SOURCE o DISC-MENU p OK q EDIT r PLAYÉ

More information

process(re) BEGIN if(cs='0' and re= 0 ) then data<=d_ REG; end if; END PROCESS; process(wr) BEGIN if(cs='0' and wr= 0 ) then D_REG <= data; end if; EN

process(re) BEGIN if(cs='0' and re= 0 ) then data<=d_ REG; end if; END PROCESS; process(wr) BEGIN if(cs='0' and wr= 0 ) then D_REG <= data; end if; EN Cadence 高速 PCB 的时序分析 1. 引言 时序分析, 也许是 SI 分析中难度最大的一部分 我怀着满腔的期许给 Cadence 的资深工程师发了一封 e-mail, 希望能够得到一份时序分析的案例, 但是希望化作了泡影 资深工程师告诉我, 他们还没有能够编写一个具体的案例 于是, 我不得不将我的期许转化为自己研究并编写一个具体的案例, 与广大 PCB 工程师共享, 令大彼天下 PCB 寒士俱欢颜

More information

数字逻辑设计2013

数字逻辑设计2013 第十一讲锁存器和触发器 Latch and Flip-flop 佟冬 tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/24spring 课程回顾 二进制及编码 布尔代数 ( 共设和定理 ) 开关函数和开关电路 开关函数和开关电路的对应关系 组合电路分析与综合 组合电路的刻画 : 输入 输出 函数公式 原理图 Verilog

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

昭英,

昭英, 2003 85 2012 角 月 Page 1 of 17 2013.06.17 6/18 X 6/18 30 ~~~ 2013 6 月 18 6 40 月 ~~ ~ 2013.06.29 Page 2 of 17 1918 3 月 16 1878 1939 骨 1891 1919 1881~1911 Page 3 of 17 1918 1920 1903~1965 12~20 1923 1927

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

(Microsoft Word - \251I\250D\245D\246W

(Microsoft Word - \251I\250D\245D\246W 第 一 週 週 一 呼 求 主 名 ( 一 ) 哀 三 55 耶 和 華 阿, 我 從 極 深 的 坑 裏 呼 求 你 的 名 56 你 曾 聽 見 我 的 聲 音 ; 求 你 不 要 掩 耳 不 聽 我 的 呼 吸, 我 的 呼 籲 賽 十 二 4 上 在 那 日, 你 們 要 說, 當 稱 謝 耶 和 華, 呼 求 祂 的 名! 6 錫 安 的 居 民 哪, 當 揚 聲 歡 呼, 因 為 以 色

More information

c_R9000PRO_101

c_R9000PRO_101 Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2002 9 3-1 - 1.... 3 1.1.... 3 1.2. GV-R9000 PRO... 3 2.... 4 2.1.... 4 2.2.... 5 2.3.... 6 3.... 8 3.1. Windows 98/98SE Windows ME Windows

More information

破解斯蒂德曼的联合生产之谜 斯蒂德曼声称 如果按照马克思的方法来计算价值 则在联合生产中 就会出现负的价值和剩余价值 从而出现负剩余价值与正利润并存的现象 这是不正确的 其原因是 斯蒂德曼在确定不同生产过程中劳动所创造的价值时 根据的是自然时间 而非社会必要劳动时间 实际上 如果按照社会必要劳动时间来决定不同生产过程中劳动所创造的价值 则即使是在联合生产的条件下 也不可能出现负的价值和剩余价值 从而更谈不上负剩余价值与正利润并存

More information

Page i

Page i 况 1 1.1.1 1.1.2 1.1.3 2 2.1 2.1.1 2.1.2 2.1.3 2.1.4 Page i 2.2 2.2.1 2.2.2 2.2.3 2.2.4 2.2.5 2.2.6 2.3 2.3.1 Page ii 2.3.2 2.3.3 2.3.4 2.4 2.4.1 2.4.2 2.4.3 Page iii 2.5 2.5.1 2.6 2.6.1 2.6.2 3 3.1 3.1.1

More information

PowerPoint Presentation

PowerPoint Presentation 课程代码 :04830100 EDA 和 Verilog HDL 专题 佟冬 Microprocessor R&D Center tongdong@mprc.pku.edu.cn http://mprc.pku.edu.cn/courses/digital/2011fall 1 电子设计自动化软件 CAD, Computer-aid Design EDA, Electronic Design Automatic

More information

第 期 曹 源 等 形式化方法在列车运行控制系统中的应用

第 期 曹 源 等 形式化方法在列车运行控制系统中的应用 第 卷 第 期 年 月 交通运输工程学报 曹 源 唐 涛 徐田华 穆建成 为了确保列车运行控制系统设计和开发的正确性 比较了仿真 测试和形式化 种能够验证 系统设计正确性的方式 根据列车运行控制系统对安全的苛求性 提出了 个与系统安全相关的重要特性 即实时性 混成性 分布 并发 性 反应性 并分析了与这些特性相关的具体形式化方法 通 过对每种形式化方法的数学基础和应用范围的分析和归类 给出了各种方法的优势和不足

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

热 门 点 评 A 股 收 盘 综 述 市 场 聚 焦 : 行 业 主 题 涨 幅 资 金 净 流 入 涨 幅 资 金 净 流 入 煤 炭 采 选 塑 胶 制 品 S 股 次 新 股 1-5 排 名 ( 涨 幅 ) 化 肥 行 业 电 子 信 息 舟 山 群 岛 深 成 500 造 纸 印 刷 煤

热 门 点 评 A 股 收 盘 综 述 市 场 聚 焦 : 行 业 主 题 涨 幅 资 金 净 流 入 涨 幅 资 金 净 流 入 煤 炭 采 选 塑 胶 制 品 S 股 次 新 股 1-5 排 名 ( 涨 幅 ) 化 肥 行 业 电 子 信 息 舟 山 群 岛 深 成 500 造 纸 印 刷 煤 策 略 研 究 A 股 午 盘 解 读 证 券 分 析 师 : 袁 泉 证 书 编 号 : S1160514090001 证 券 分 析 师 : 邬 玮 飚 证 书 编 号 : S1160515110001 联 系 人 : 张 加 代 电 话 :021-36537949 相 关 研 究 : 市 场 平 淡 如 水 成 交 量 再 创 四 月 新 低 201600928 小 幅 收 涨 不 该 弱 势

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

WARNING RISK OF ELECTRIC SHOCK DO NOT OPEN AVIS RISQUE DE CHOC ELECTRIQUE NE PAS OUVRIR S35A Ct-

WARNING RISK OF ELECTRIC SHOCK DO NOT OPEN AVIS RISQUE DE CHOC ELECTRIQUE NE PAS OUVRIR S35A Ct- CR-B8 Ct WARNING RISK OF ELECTRIC SHOCK DO NOT OPEN AVIS RISQUE DE CHOC ELECTRIQUE NE PAS OUVRIR S35A...... Ct- Ct-3 Ct-4 3 Ct-5 Ct-6 Ct-7 3 4 5 6 7 8 9 J K L A B C D E F G H I M N O P Q R S T U J K L

More information

Intel® Quartus® Prime Standard Edition用户指南 Timing Analyzer

Intel® Quartus® Prime Standard Edition用户指南 Timing Analyzer Intel Quartus Prime Standard Edition 用户指南 Timing Analyzer 针对 Intel Quartus Prime 设计套件的更新 :18.1 订阅 官网最新文档 :PDF HTML 内容 内容 1. 时序分析介绍... 3 1.1. 时序分析基本概念...3 1.1.1. 时序路径和时钟分析... 4 1.1.2. 时钟设置分析... 7 1.1.3.

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

Application Note Format

Application Note Format USB 說 2 - AD PWM Office: 6F, No. 12, Innovation 1st. RD., Science-Based Industrial Park, Hsin-Chu City, Taiwan, R.O.C Tel: +886-3-6661766 ext.1672 Fax: +886-3-6661765 Etoms Electronics Corp. Publication

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

<4D6963726F736F667420576F7264202D20D3A1CBA22DCBD5BDA8D6CAB0B2A1B232303136A1B33536BAC52D2DCAA1D7A1B7BFB3C7CFE7BDA8C9E8CCFCB9D8D3DAB1EDD5C33230313432303135D1EFD7D3B1ADB1EDD5C3BEF6B6A8322E3139B8C45F315F2E646F63>

<4D6963726F736F667420576F7264202D20D3A1CBA22DCBD5BDA8D6CAB0B2A1B232303136A1B33536BAC52D2DCAA1D7A1B7BFB3C7CFE7BDA8C9E8CCFCB9D8D3DAB1EDD5C33230313432303135D1EFD7D3B1ADB1EDD5C3BEF6B6A8322E3139B8C45F315F2E646F63> 江 苏 省 住 房 和 城 乡 建 设 厅 文 件 苏 建 质 安 06 6 号 省 住 房 城 乡 建 设 厅 关 于 表 彰 0 0 年 度 江 苏 省 优 质 工 程 奖 扬 子 杯 的 决 定 各 省 辖 市 建 设 局 ( 委 ) 城 管 局 园 林 ( 市 政 ) 局, 省 有 关 单 位 : 根 据 江 苏 省 优 质 工 程 奖 扬 子 杯 评 选 办 法 ( 苏 建 规 字 0 号

More information

Microsoft PowerPoint - 6.FPGA设计进阶.ppt

Microsoft PowerPoint - 6.FPGA设计进阶.ppt 主要内容 FPGA 设计原则 徐光辉 ghxu@seu.edu.cn 可编程逻辑常用设计思想与技巧 时序约束与时序分析 设计优化 编程风格 一 FPGA 设计原则 1.1 面积和速度平衡与互换的原则 面积和速度平衡与互换的原则 硬件原则 系统原则 同步设计原则 面积 : 即逻辑资源, 对于 FPGA 而言由 FF 或 LUT 衡量, 对于 CPLD 由宏单元衡量, 都可以用逻辑门数量来衡量消耗逻辑资源数量

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

AP128DG-H AP128DG-H 3 13 ATiRADEON TM Win 98/98SE, WinME Win XP Direct X

AP128DG-H AP128DG-H 3 13 ATiRADEON TM Win 98/98SE, WinME Win XP Direct X Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD ( GBT ) GBT GBT, GBT 2002 4 12 1 AP128DG-H 1 11 3 12 AP128DG-H 3 13 ATiRADEON TM 8500 4 2 21 5 22 6 23 7 3 31 Win 98/98SE, WinME Win XP 9 311 9 312 Direct X 10 313

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 24-1 - 1....3 1.1.... 3 1.2.... 3 2....4 2.1.... 4 2.2.... 5 2.3.... 6 3....8 3.1. Windows 98/98SE Windows ME Windows XP 8 3.1.1....8

More information

060522達文西密碼_全_.PDF

060522達文西密碼_全_.PDF Date: May-22-2006 Page 1 Date: May-22-2006 Page 2 Date: May-22-2006 Page 3 Date: May-22-2006 Page 4 Date: May-22-2006 Page 5 Date: May-22-2006 Page 6 Date: May-22-2006 Page 7 Date: May-22-2006 Page 8 Date:

More information

一:概述

一:概述 EDM12864A 图形点阵式液晶显示器模块原理与应用手册 大连东福彩色液晶显示器有限公司 一 概述 EDM12864A 液晶显示器模块是全屏幕图形点阵式液晶显示器组件, 由控制器 驱动器和全点阵液晶显示器组成 可完成图形显示, 也可以显示汉字 (4 8 个 16 16 点阵汉字 ); 与 CPU 接口是 8 位数据线和几条地址线, 而不用另外加控制器 ( 如 HD61830 等 ), 另外 3 条电源线供芯片和

More information

FZUBRIDGE

FZUBRIDGE 1 2 3 5 8 9 10 11 12 13 14 15 16 17 19 20 21 23 24 25 29 31 32 33 34 M g1 M 1g ( M 2g M 1g )(1 e ( t, ) ) 35 36 M Q M Q g g 1.15M 1.05Q p p 37 max 1 n e max n i1 1 2 i 38 39 n max M Q M Q g g

More information

Page 1 of 43 深 人 考 函 2010 11 号 关 于 做 好 2010 年 度 二 级 建 造 师 执 业 资 格 考 试 工 作 的 通 知 各 有 关 单 位 : 根 据 广 东 省 人 事 考 试 局 广 东 省 建 设 执 业 资 格 注 册 中 心 关 于 2010 年 度 二 级 建 造 师 执 业 资 格 考 试 考 务 工 作 的 通 知 ( 粤 人 考 2010 8

More information