PowerPoint Presentation

Size: px
Start display at page:

Download "PowerPoint Presentation"

Transcription

1 明志科技大學電子系 計算機結構 Chapter 1: 計算機概念與技術 授課教師 : 黃樹林

2 計算機歷史 -- 現代電子計算機 真空管電子數位計算機的雛形 :Atanasoff, 1937 電子數值積分計算機 (ENIAC) 的發明 :( ) 美國賓州大學 John Machly 與 J. Presper Eckert 博士世界第一部 General-purpose 電子數位電腦有 20 個儲存記錄器及程式記憶單元, 18,000 個真空管, 長 30m, 高 3m, 寬 10m 內儲程式計算機 (EDVAC):1944 idea John von Neuman with ENIAC 現代計算機架構的雛形 Von Neumann Computer( 自動執行 ) EDSAC: 1949,Maurice Wilkes 第一部全規格 (full-scale) 的內儲程式計算機

3 The First Computer

4 First electronic computer(eniac)

5 計算機歷史 -- 現代電子計算機 Manchester:1947, magnetic drum Transistors :1948, Invented at IBM Bell Labs MIT Whirlwind project: Magnetic core memory (2K 16-bit words) Harvard architecture: howard aiken at Harvard The machine 使用分離的記憶體來存程式與資料 ( 今天, 使用獨立的指令快取與資料快取 (I-cache and D-cache) UNIVAC:( , UNIVersal Automatic Computer) 第一部商用計算機 ( 美國 ) 48 系統被建立 UNIVAC II 年代後期, Magnetic core

6 計算機歷史 -- 電腦王國 (IBM) Punched-card processing equipment IBM 701:1952 IBM 的第一個內儲程式計算機真空管, 磁鼓型態, 二進制 IBM 702,705,709->700/7000 Series IBM 7090: IBM 709 的電晶體電路設計 IBM S/360 (30, 40, 50, 60, 62, 70) 家族系列 : 1964, Multiplexed switch structure (I/O Channel) 第一個提出家族觀念 相似的指令集, 作業系統 容易增加速度,I/O, 記憶體, 價值

7 計算機歷史 -Mini & Super computer DEC PDP-8: 1965 第一個迷你型電腦 ( 在迷你裙之後 miniskirt!) 夠小而能在實驗室中使用匯流排結構 (BUS STRUCTURE) PDP-11 :1970 Intel 8080(1974) CDC 6600: 1963, Seymour Cray 第一個超級電腦 多個執行單元的設計 Cray-1:1976 管線式向量計算機 (SIMD) 160 MFLOPs peak

8 計算機歷史 Microcomputer Intel 4004 : 1971, 4-bit 第一個微處理機 ( 大小 : 微米, 速度 : 微秒 us) 所有 CPU 元件都做在一個晶片 (chip) 上 Intel 8080,8088 : 1974, 8-bit general purpose Apple II : 1977, M6502 IBM 個人電腦 (1981) : 選用 Intel 8086(16-bit general purpose) ; 80x86 個人電腦 RISC chips : 1987, MIPS, Intel, Motorola 個人電腦戰國時代 : Pentium I,II,III/ K5,K6,K7/PowerPC 601,604,620 後 PC 時代 : IA (Internet Appliance, 網際網路應用 )

9 讓 PC 成為真正有用的東西 -- 應用程式 1979: 1st electronic spreadsheet (VisiCalc for Apple II) by Don Bricklin and Bob Franston The killer app for early PCs Followed by dbase II,...

10 80 年代,IC 的集成進入 VLSI New processor architecture was introduced: RISC (Reduced Instruction Set Computer) IBM: John Cocke UC Berkeley: David Patterson Stanford: John Hennessy Commercial RISC processors around 1985 MIPS: MIPS Sun: Sparc IBM: Power RISC HP: PA-RISC DEC: Alpha They compete with CISC (complex instruction set computer) processors, mainly Intel x86 processors, for the next 15 years

11 後來的故事 在計算機結構方面比較不精彩 不過似乎後 PC 的時代已經來臨

12 計算機世代演進史 Generation Dates Technology Principal new product Vacuum tubes Commercial, electronic computer Transistors Cheaper computers New companies and machines IBM 701, UNIVAC 1 Burroughs 6500, NCR, CDC 6600, Honeywell Integrated circuit Minicomputer 50 new companies: DEC PDP-11, Data General Nova ? LSI and VLSI Personal computers and workstations 5 199?-???? Parallel processing? Apple II, Apollo DN 300, Sun2 Multiprocessors???

13

14 The MOS Transistor

15 Intel 4004 Micro-Processor

16 Intel Pentium (IV) microprocessor

17 The chip manufacturing process

18 晶片製造過程

19 8-inch Wafer

20 CPU and Cooling Fan

21 Different Types of Computers Desktop computer A computer designed for use by an individual, usually incorporating a graphics display, keyboard, and mouse. Server A computer used for running larger programs for multiple users often simultaneously and typically accessed only via a network Supercomputer A class of computer with the highest performance and cost; they are configured as servers and typically cost millions of dollars Embedded computer A computer inside another device used for running one predetermined application, e.g., cellular phone, video games, digital TVs, set-top boxes, personal digital assistants (PDAs), computer inside.

22 Computer Usage: Embedded Uses: control (traffic, printer, disk); consumer electronics (video game, CD player, PDA) Lego Mindstorms Robotic command explorer: A Programmable Brick, Hitachi H8 CPU (8-bit), 32KB RAM, LCD, batteries, infrared transmitter/receiver, 4 control buttons, 6 connectors

23 生活裡的應用比比皆是

24 Embedded Computers Typically w/o FP or MMU, but integrating various peripheral functions, e.g., DSP Large variety in ISA, performance, on-chip peripherals Compatibility is non-issue, new ISA easy to enter, low power become important More architecture and survive longer: 4- or 8-bit microprocessor still in use (8-bit for cost-sensitive, 32-bit for performance) Large volume sale (billions) at low price ($40-$5) 1995 #1: x86; #2: 6800; #3: Hitachi SuperH (Sega) Others: MIPS, StrongARM, PA-RISC Trend: lower cost, more functionality system-on-chip, mp core on ASIC

25 Several factors: Why Such Changes? IC technology: clock rate, power, transistors per chip enable Computer architecture: pipeline, cache, MMX, instructions per cycle supported by Mass market: market share, revenue, applications Let s examine IC technology first...

26 VLSI IC Technology Line width (nm) Clock (GHz) DRAM cost (microcents/bit) MPU cost (microcent/trans) Supply voltage(v) Wiring levels cost per transistor chip density

27 Technology Progress: Implication Minimum feature size: halve every 7 years O(n 2 ) with respect to transistor count and O(n) with respect to switching time Power dissipation Wafer size: X2 every 3 years O(n 2 ) with respect to transistor count Others: provide one-time improvement Price: lower costs due to Simpler development and higher volumes with CMOS Highly integrated chips with improved speed, reliability, cost, functionality

28 Introduction The number of distinct processors sold between 1998 and 2002

29 Introduction Sales of microprocessors between 1998 and 2002 by instruction set architecture combing all users

30 The Processor Market

31 What you can learn in this book? How are programs written in a high-level language, and how does the hardware execute the resulting program? What is the interface between the software and the hardware, and how does software instruct the hardware to perform needed functions? What determines the performance of a program, and how can a programmer improve the performance?

32 計算機組成 構成的單元 : 輸入裝置 ( 滑鼠, 鍵盤等 ) (IU; Input Unit) 輸出裝置 ( 螢幕, 印表機等 ) (OU; Output Unit) 記憶體 ( 磁碟機, DRAM,SRAM, 光碟片 ) (MU) 網路 ( 現代發展 ) 微處理機 (CPU, 如 x86, MIPS, Power PC, Sun..)

33 計算機五大組織要件

34 個人電腦系統硬體結構

35 I/O Devices Cathode ray tube (CRT) display A display, such as a television set, that displays an image using an electron beam scanned across a screen Flat-panel display, Liquid Crystal Display (LCD) A display technology using a thin layer of liquid polymers that can be used to transmit or block light according to whether a charge is applied

36 PC motherboard

37 Opening the box

38 Motherboard Computer components A plastic board containing packages of integrated circuits or chips, including processor, cache, memory, and connectors for I/O devices such as networks and disks Integrated Circuit (IC) or chip A device combining dozens to millions of transistors Memory The storage area in which programs are kept when they are running and that contains the data needed by the running programs

39 Computer components Central processor unit (CPU) Also called processor. The active part of the computer, which contains the datapath and control and which adds numbers, tests numbers, signals I/O devices to activate, and so on. Datapath Control The component of the processor that performs arithmetic operations (add, sub, mul, div) The component of the processor that commands the datapath, memory, and I/O devices according to the instructions of the program

40 Memory: A place for data Memory: Memory built as an integrated circuit, it provides random access to any location Static Random Access Memory (SRAM) Dynamic Random Access Memory (DRAM) Cache memory A small, fast memory that acts as a buffer for a slower, larger memory

41 Memory (I) Memory The storage area in which programs are kept when they are running and that contains the data needed by the running programs Volatile memory Storage, such as DRAM, that only retains data only if it is receiving power Nonvolatile memory A form of memory that retains data even in the absence of a power source and that is used to store programs between runs. Magnetic disk is nonvolatile and DRAM is not.

42 Memory (II) Primary memory Also called main memory. Volatile memory used to hold programs while they are running; typically consists of DRAM in today s computers Secondary memory Nonvolatile memory used to store programs and data between runs; typically consists of magnetic disks in today s computers Magnetic disk (also called hard disk) A form of nonvolatile secondary memory composed of rotating platters coated with a magnetic recording material

43 Disk: A safe place for data

44 Disk: A safe place for data Hard drive: most commonly used storage: 40Gbytes~60Gbytes/UDS 100. Optical disks constitute the most common form of removable storage Magnetic tape provides only slow serial access and has been used to back up disks, in a role now often replaced by duplicate hard drivers FLASH-based removable memory cards typically attach by a USB (Universal Serial Bus) connection and are often used to transfer files Floppy drivers and Zip drives are a version of magnetic disk technology with removable flexible disks.

45 Communicating with Other Computers Networked computers have several major advantages Communication: Information is exchanged between computers at high speeds Resource sharing: Rather than each machine having its own I/O devices, devices can be shared by computers on the network Non-local access: By connecting computers over long distances, users need not be near the computer they are using

46 Communicating with other computers Local area network (LAN) A network designed to carry data within a geographically confined area, typically within a single building, e.g. 10/100M Ethernet Wide area network (WAN) A network extended over hundreds of kilometers which can span a continent, e.g, ADSL

47 CPU 是甚麼? CPU 是 Central Processing Unit 中央處理器 之簡稱 使整部電腦能夠運作的最核心 最重要的元件 電腦系統開始運作時,CPU 從記憶體讀取操作它的軟體的指令與資料, 透過 ALU 運算結果存回記憶體, 同時由主機板與外界的 I/O 週邊溝通 CPU 為控制整部電腦運作的中心樞紐, 內部包括控制單元 算術及邏輯單元 暫存器或記憶單元 算術及邏輯運算單元 (ALU): 加 減 乘 除及比較 選擇 判斷等運算 控制單元 (CU): 翻譯程式中指令的解碼功能及協調控制各部門依指令執行使電腦自動化處理資料 記憶單元 : 儲存目前正要被處理運算的程式或資料, 容量以 KB 為單位 暫存器 (Register): 暫時儲存資料, 如儲存運算累積器 功能與記憶體相似 輸入單元 (Input,I/P): 接受輸入資料或程式供進一步處理 如鍵盤 滑鼠 輸出單元 (Output,O/P): 負責將 CPU 處理結果輸出, 如 : 印表機 磁碟機

48 CPU 基本結構 CPU 主要工作是執行指令 指令可能是所寫的程式, 經過 C 或 C++ 等編譯器所轉成的機械碼, 也可能各週邊介面的驅動程式, 或是存在 BIOS 中的程式碼 無論指令何處來及其型態為何, 微處理機都必須將指令經過一番處理, 並執行指令所隱含的工作 ( 如兩個數字相加減或乘除 ) CPU 的構造 算術邏輯單元 ( ALU, Arithmetic Logic Unit ) 暫存器 ( Register ) 控制單元 ( Control Unit ) 系統時脈 ( System Clock ) CPU 暫存器 系統時脈 算術邏輯單元 ALU 控制單元 資料匯流排控制 地址匯流排控制 資料匯流排 地址匯流排 CPU 控制與系統控制信號

49 ALU(Arithmetic Logic Unit) 運算功能 CPU 內部負責所有資料運算工作 ( 包括算術和邏輯運算 ) 的處理單元 主要的運算請參考下表 組合語言部份的指令功能 ALU 就是透過指令的執行, 將它的運算結果儲存在暫存器中 CPU 便依照暫存器內不同的結果來做程式的執行 算術邏輯功能 加法 減法比較遞增 遞減 邏輯運算功能 AND OR NOT XOR 左移 右移 左旋轉 右旋轉位元設定 清除 測試

50 暫存器 (Register) 功能是暫時儲存 CPU 執行程式後的結果, 使程式設計者能加以適當的控制利用, 通常分一般目的暫存器 (GPR) 及特殊目的暫存器 (SPR) 一般目的暫存器用來儲存普通的運算結果 特殊目的暫存器則暫存與 CPU 內部運作有關資料 程式計數器 (PC,Program Counter )& 堆疊指標 (SP,Stack Pointer ), 此二暫存器是任何 CPU 必須具備的 PC, 是使 CPU 能自動從記憶體讀取指令的重要機制 (PC<- PC+1) SP, 是用來設定系統在執行時堆疊正在記憶體中的最高位置, 這與副程式的執行及 PUSH POP 指令有直接關係, 沒有適當的設定, 程式則無法正確的執行

51 CPU 內部較重要的暫存器 程式計數器 PC (Program Counter): 負責儲存 CPU 下一次所要執行的記憶體位址 指令暫存器 IR (Instruction Register): 負責儲存 CPU 所要執行的指令 堆疊指標器 SP (Stack Pointer): 負責儲存 CPU 目前使用的堆疊位址 位址暫存器 MAR (Memory Address Register): 負責儲存 CPU 所要存取記憶體資料的位址 旗標 ( 程式狀態 ) 暫存器 FLAG(PSW) Register: 負責儲存 ALU 運算處理後 CPU 的狀態 如進位旗標 CF 溢位旗標 OF 符號旗標 SF 等

52 控制單元 (Control Unit) 控制單元是 CPU 的心臟部份, 配合 ALU 及暫存器來完成微處理機的各種指令運作 一般控制單元主要功能可以概分為下列幾項 : (1) 指令碼提取及指令解碼 ( 運算碼 (OP Code), 運算元 (Operand)) (2) 記憶體資料的讀取或寫入 (3) 輸入輸出埠資料的讀取或寫入 (4) 插斷 (Interrupt) 功能的請求 (Request) 與認知 (Acknowledge) (5) 匯流排使用的請求與認知 (6) 不可遮罩 (Non Maskable) 插斷的請求與認知 (7) 從暫停指令離開

53 控制單元 (Control Unit) 除了控制內部的運作外, 還必須控制整個系統匯流排的動作, 其中匯流排包括地址匯流排 (Address Bus) 資料匯流排 (Data Bus) 及控制匯流排 (Control Bus), 而控制匯流排又分為 CPU 本身的內部控制信號及整個系統控制信號 CPU 是如何讀取存在記憶體中的程式碼而執行呢? 首先發出地址線至記憶體中讀取指令放在指令暫存器 (IR) 中 -> 指令讀取 (IF:Instruction Fetch) 而後進行指令解碼 (ID:Instruction Decode), 發出相對應 ALU 控制訊號, 以便讓 ALU 了解要作何運算, 是否需要運算元 (Operand), 如果需要則至暫存器或記憶體中讀取資料 (OF:Operand Fetch) 來做運算 (EXE:Execution) 運算完後再將結果寫至暫存器或記憶體中, 而結束一完整指令的執行週期 (Instruction Cycle), 負責這一連串動作的單元, 我們稱為控制單元

54 系統時脈 (System Clock) CPU 內部動作相當複雜, 大量的資料在匯流排 (Bus) 線上高速度的交換著, 完全依賴系統時脈才能井然有序, 按步就班的工作著 系統時脈如何提供呢? 可由外部加一石英振盪晶體 (Crystal) 經放大後的信號而接至 CPU 的時脈輸入接腳 當振盪頻率越高時, CPU 本身的運算速度越快, 卻有個上限, 稱為最高工作頻率, 超過此一頻率後,CPU 便無法正常運作了

55 系統匯流排 (System Bus) 位址匯流排 Address Bus 負責傳送 CPU 所要存取資料的位址, 它可以決定 CPU 所能處理的記憶體容量,N 條位址線可以擁有 2 的 N 次方的記憶空間, 而其位址為 0 至 2 的 N 次方來減 1 資料匯流排 Data Bus 負責傳送 CPU 所要存取的資料, 其線數的多少代表 CPU 的記憶體字組 Memory Word, 亦即 CPU 一次所能存取記憶體資料的基本單位 (PCI: 32/64 Bit) 控制匯流排 Control Bus 負責傳送 CPU 所發出的控制訊號 (Memory Read/Write, I/O Port Read/Write,INTR,Reset 等 )

56 Von Neumann 計算機 內儲程式計算機, 二進制的 ALU 運算, 五大單元 控制單元從記憶體抓取指令, 解譯後啟動相對應電路 (ALU) 來執行 控制單元控制 I/O 裝置的運作 IAS(Princeton Institute for Advanced Studies):1952 Input Output Equipment (I/O Unit) Arithmetic and Logic Unit (Datapath) Program Control Unit (Control Unit) Main Memory (Memory Unit)

57 PLD (Programmable Logic Device) All layers already exist Designers can purchase an IC Connections on the IC are either created or destroyed to implement desired functionality Field-Programmable Gate Array (FPGA) very popular Benefits Low NRE costs, almost instant IC availability Drawbacks Bigger, expensive (perhaps $30 per unit), power hungry, slower

58 Technologies for building processors and memories Transistor Vacuum tube Very large scale integrated (VLSI) circuit Growth of capacity per DRAM chip over time

59 CPU Performance in WS

60 個人電腦系統軟體結構

61 Computer programs Systems software Software that provides services that are commonly useful, including operating systems, compilers, and assemblers. Operating system Supervising program that manages the resources of a computer for the benefit of the programs that run on that machine Compiler A program that translates high-level language statements into assembly language statements

62 Below your program A simplified view of hardware and software as hierarchical layers, shown as concentric circles with hardware in the center and applications software outermost

63 System software Operating System (Windows, Linux, MacOS) Handle basic input and output operations Allocate storage and memory Provide for sharing the computer among multiple applications using it simultaneously. Compilers: translate high-level language (C, Java) into instructions that the hardware can execute Assembler: From assembly language to machine language

64 From high-level language to the language of hardware C program ->compiled into assembly language ->and then assembled into binary machine language

65 多核心 (Multi-Core) 微處理器

66 Summary The basics of computer components and CPU are reviewed The next issue is to explore the details of the CPU design: Instruction sets (assembly language, machine language) Chapter 2 Arithmetic for computers: ALU design for add/subtract/multiplications/division Chapter 3 Performance evaluation Chapter 4 CPU datapath and control units Chapter 5

67 習題

68 1.54 Sometimes software optimization can dramatically improve the performance of a computer system. Assume that a CPU can perform a multiplication operation in 10 ns, and a subtraction operation in 1 ns. How long will it take for the CPU to calculate the result of d = a b - a c? Could you optimize the equation so that it will take less time? Please show the time! (5 分 )

69 1.51 Assume you are in a company that will market a certain IC chip. The fixed costs, including R&D, fabrication and equipments, and so on, add up to $500,000. The cost per wafer is $6000, and each wafer can be diced into 1500 dies. The die yield is 50%. Finally, the dies are packaged and tested, with a cost of $10 per chip. The test yield is 90%; only those that pass the test will sold to customers. If the retail price is 30% more than the cost, at least how many chips have to be sold to break even? (5 分 )

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

<4D6963726F736F667420576F7264202D2032303130C4EAC0EDB9A4C0E04142BCB6D4C4B6C1C5D0B6CFC0FDCCE2BEABD1A15F325F2E646F63>

<4D6963726F736F667420576F7264202D2032303130C4EAC0EDB9A4C0E04142BCB6D4C4B6C1C5D0B6CFC0FDCCE2BEABD1A15F325F2E646F63> 2010 年 理 工 类 AB 级 阅 读 判 断 例 题 精 选 (2) Computer mouse How does the mouse work? We have to start at the bottom, so think upside down for now. It all starts with mouse ball. As the mouse ball in the bottom

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

(Pattern Recognition) 1 1. CCD

(Pattern Recognition) 1 1. CCD ********************************* ********************************* (Pattern Recognition) 1 1. CCD 2. 3. 4. 1 ABSTRACT KeywordsMachine Vision, Real Time Inspection, Image Processing The purpose of this

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

Your Field Guide to More Effective Global Video Conferencing As a global expert in video conferencing, and a geographically dispersed company that uses video conferencing in virtually every aspect of its

More information

Microsoft Word - ws-chp06輸入輸出notes.doc

Microsoft Word - ws-chp06輸入輸出notes.doc 6.1 輸 入 設 備 : 輸 入 設 備 Purpose 目 的 Applications 應 用 1 鍵 盤 keyboard 輸 入 文 本 text 文 書 處 理 ( 編 制 書 信 文 件 ) 程 式 編 寫 programming 讀 取 卡 背 磁 帶 上 的 資 料 讀 取 信 用 咭 資 料 讀 取 銀 行 ATM 卡 背 面 的 磁 帶 資 料 地 鐵 車 票 背 面 的 磁

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

软件测试(TA07)第一学期考试

软件测试(TA07)第一学期考试 一 判 断 题 ( 每 题 1 分, 正 确 的, 错 误 的,20 道 ) 1. 软 件 测 试 按 照 测 试 过 程 分 类 为 黑 盒 白 盒 测 试 ( ) 2. 在 设 计 测 试 用 例 时, 应 包 括 合 理 的 输 入 条 件 和 不 合 理 的 输 入 条 件 ( ) 3. 集 成 测 试 计 划 在 需 求 分 析 阶 段 末 提 交 ( ) 4. 单 元 测 试 属 于 动

More information

201406002+大学计算机基础B.doc

201406002+大学计算机基础B.doc 目 录. 大 学 计 算 机 基 础 B( 非 独 立 设 课 ).... 计 算 机 操 作 基 础 ( 独 立 设 课 )...3 3. 程 序 设 计 基 础 ( 非 独 立 设 课 )...5 4. 面 向 对 象 程 序 设 计 ( 非 独 立 设 课 )...8 5. 数 据 库 原 理 ( 非 独 立 设 课 )...0 6. 算 法 设 计 与 分 析 ( 非 独 立 设 课 )...

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

Windows XP

Windows XP Windows XP What is Windows XP Windows is an Operating System An Operating System is the program that controls the hardware of your computer, and gives you an interface that allows you and other programs

More information

Microsoft PowerPoint - ATF2015.ppt [相容模式]

Microsoft PowerPoint - ATF2015.ppt [相容模式] Improving the Video Totalized Method of Stopwatch Calibration Samuel C.K. Ko, Aaron Y.K. Yan and Henry C.K. Ma The Government of Hong Kong Special Administrative Region (SCL) 31 Oct 2015 1 Contents Introduction

More information

A 2006 2 1.1 1.2 1.3 1.4 1.5 1 : 2. 3. 4 5 1.1 1.1.1 1 1.1.1 2 CPU = + = CPU + = 1.1.1 3 : : 1.1.1 4 ROM 1.1.2 1 1946 6 John von Neumann : (1) (2) ( ) (3) ( ) ( ) (PC) (?) 2 3 : ADD ADD AX BX CPU ALU

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS RAID (4) SATA (5) SATA (a) S A T A ( S A T A R A I D ) (b) (c) Windows XP

Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS RAID (4) SATA (5) SATA (a) S A T A ( S A T A R A I D ) (b) (c) Windows XP Serial ATA ( Sil3132)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 10 (5) S A T A... 12 Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS

More information

高 职 计 算 机 类 优 秀 教 材 书 目 * 序 号 书 号 (ISBN) 书 名 作 者 定 价 出 版 / 印 刷 日 期 ** 配 套 资 源 页 码 计 算 机 基 础 课 1 978-7-111-30658-0 计 算 机 应 用 基 础 刘 升 贵 29.00 2012 年 8 月

高 职 计 算 机 类 优 秀 教 材 书 目 * 序 号 书 号 (ISBN) 书 名 作 者 定 价 出 版 / 印 刷 日 期 ** 配 套 资 源 页 码 计 算 机 基 础 课 1 978-7-111-30658-0 计 算 机 应 用 基 础 刘 升 贵 29.00 2012 年 8 月 高 职 计 算 机 类 优 秀 教 材 书 目 * 序 号 书 号 (ISBN) 书 名 作 者 定 价 出 版 / 印 刷 日 期 ** 配 套 资 源 页 码 计 算 机 基 础 课 1 978-7-111-30658-0 计 算 机 应 用 基 础 刘 升 贵 29.00 2012 年 8 月 电 子 教 案 P1 2 978-7-111-27081-2 计 算 机 应 用 基 础 ( 第 2

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

徐汇教育214/3月刊 重 点 关 注 高中生异性交往的小团体辅导 及效果研究 颜静红 摘 要 采用人际关系综合诊断量表 郑日昌编制并 与同性交往所不能带来的好处 带来稳定感和安全感 能 修订 对我校高一学生进行问卷测量 实验组前后测 在 够度过更快乐的时光 获得与别人友好相处的经验 宽容 量表总分和第 4 项因子分 异性交往困扰 上均有显著差 大度和理解力得到发展 得到掌握社会技术的机会 得到 异

More information

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot OSI OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Protocol OSI OSI OSI OSI OSI O S I 2-1 Application

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與 程 式 編 写 語 言 在 完 成 這 章 後, 你 將 能 夠 了 解 程 式 編 写 語 言 的 功 能 了 解 高 階 語 言 和 低 階 語 言 之 間 的 分 別 知 道 翻 譯 程 式 的 意 義 和 能 夠 把 翻 譯 程 式 分 類 為 : 匯 編 程 式 編 譯 程 式 和 解 譯 程 式 認 識 不 同 翻 譯 程 式 的 優 點 和 缺 點 程 式 是 指 揮 電 腦 的 指

More information

致 谢 开 始 这 篇 致 谢 的 时 候, 以 为 这 是 最 轻 松 最 愉 快 的 部 分, 而 此 时 心 头 却 充 满 了 沉 甸 甸 的 回 忆 和 感 恩, 一 时 间 竟 无 从 下 笔 虽 然 这 远 不 是 一 篇 完 美 的 论 文, 但 完 成 这 篇 论 文 要 感 谢

致 谢 开 始 这 篇 致 谢 的 时 候, 以 为 这 是 最 轻 松 最 愉 快 的 部 分, 而 此 时 心 头 却 充 满 了 沉 甸 甸 的 回 忆 和 感 恩, 一 时 间 竟 无 从 下 笔 虽 然 这 远 不 是 一 篇 完 美 的 论 文, 但 完 成 这 篇 论 文 要 感 谢 中 国 科 学 技 术 大 学 博 士 学 位 论 文 论 文 课 题 : 一 个 新 型 简 易 电 子 直 线 加 速 器 的 关 键 技 术 研 究 学 生 姓 名 : 导 师 姓 名 : 单 位 名 称 : 专 业 名 称 : 研 究 方 向 : 完 成 时 间 : 谢 家 麟 院 士 王 相 綦 教 授 国 家 同 步 辐 射 实 验 室 核 技 术 及 应 用 加 速 器 物 理 2006

More information

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式]

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式] X-ray data acquisition systems for NDT applications 技股份有限公司 先锋科技股份有限公司 科技股份有限公司 先锋科技股份有限公司 www Sens-Tech Ltd UK based company 40 Staff Specialise in detection and data acquisition systems for light and

More information

P3B-F Pentium III/II/Celeron TM

P3B-F Pentium III/II/Celeron TM P3B-F Pentium III/II/Celeron TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 13 R PS2 KBMS USB COM1 COM2 JTPWR ATXPWR PWR_FAN CPU_FAN Row 0 1 2 3 4 5 6 7 DSW JP20

More information

<4D6963726F736F667420576F7264202D203338B4C12D42A448A4E5C3C0B34EC3FE2DAB65ABE1>

<4D6963726F736F667420576F7264202D203338B4C12D42A448A4E5C3C0B34EC3FE2DAB65ABE1> ϲ ฯ र ቑ ጯ 高雄師大學報 2015, 38, 63-93 高雄港港史館歷史變遷之研究 李文環 1 楊晴惠 2 摘 要 古老的建築物往往承載許多回憶 也能追溯某些歷史發展的軌跡 位於高雄市蓬 萊路三號 現為高雄港港史館的紅磚式建築 在高雄港三號碼頭作業區旁的一片倉庫 群中 格外搶眼 這棟建築建成於西元 1917 年 至今已將近百年 不僅躲過二戰戰 火無情轟炸 並保存至今 十分可貴 本文透過歷史考證

More information

多核心CPU成長日記.doc

多核心CPU成長日記.doc 篇 名 : 多 核 心 CPU 成 長 日 記 作 者 : 劉 重 安 國 立 溪 湖 高 中 高 三 11 班 趙 芃 凱 國 立 溪 湖 高 中 高 三 11 班 蔡 文 凱 國 立 溪 湖 高 中 高 三 11 班 指 導 老 師 : 潘 秀 欽 老 師 第 1 頁 壹 前 言 微 處 理 器 (CPU, 被 稱 為 中 央 處 理 器 ) 可 說 是 電 腦 系 統 的 大 腦, 掌 管 整

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 ............ 1 2 3 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

untitled

untitled LBS Research and Application of Location Information Management Technology in LBS TP319 10290 UDC LBS Research and Application of Location Information Management Technology in LBS , LBS PDA LBS

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

D4

D4 4 020 Application Trend and Fabrication Introduction of 3D Integrated Circuits Through Silicon Vias Technology Abstract The three-dimensional integrated circuits through silicon vias (3D IC TSV) technology

More information

Microsoft Word doc

Microsoft Word doc 中 考 英 语 科 考 试 标 准 及 试 卷 结 构 技 术 指 标 构 想 1 王 后 雄 童 祥 林 ( 华 中 师 范 大 学 考 试 研 究 院, 武 汉,430079, 湖 北 ) 提 要 : 本 文 从 结 构 模 式 内 容 要 素 能 力 要 素 题 型 要 素 难 度 要 素 分 数 要 素 时 限 要 素 等 方 面 细 致 分 析 了 中 考 英 语 科 试 卷 结 构 的

More information

Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Microsoft Win

Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Microsoft Win Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A... 11 (6) Microsoft Windows 2000... 14 Ác Åé å Serial ATA ( Nvidia nforce430)

More information

Sun Storage Common Array Manager 阵列管理指南,版本 6.9.0

Sun Storage Common Array Manager  阵列管理指南,版本 6.9.0 Sun Storage Common Array Manager 阵 列 管 理 指 南, 版 本 6.9.0 文 件 号 码 :E27519-01 2012 年 2 月 版 权 所 有 2007, 2011, Oracle 和 / 或 其 附 属 公 司 保 留 所 有 权 利 本 软 件 和 相 关 文 档 是 根 据 许 可 证 协 议 提 供 的, 该 许 可 证 协 议 中 规 定 了 关

More information

F4

F4 DOI:10.3969/j.issn.1009-6868.2016.01.002 网 络 出 版 地 址 :http://www.cnki.net/kcms/detail/34.1228.tn.20151117.1506.006.html Challenges and Countermeasures of Network Space Security 周 延 森 /ZHOU Yansen 周 琳 娜

More information

XXX专业本科人才培养方案

XXX专业本科人才培养方案 计 算 机 科 学 与 技 术 专 业 本 科 人 才 培 养 方 案 (Computer Science and Technology 080901) 一 培 养 目 标 本 专 业 培 养 德 智 体 美 全 面 发 展, 具 有 良 好 的 科 学 与 人 文 素 养, 熟 悉 经 济 管 理 法 律 等 相 关 基 础 知 识, 系 统 地 掌 握 计 算 机 硬 件 软 件 方 面 的 基

More information

一个开放源码的嵌入式仿真环境 ― SkyEye

一个开放源码的嵌入式仿真环境 ― SkyEye SkyEye SkyEye http://hpclab.cs.tsinghua.edu.cn/~skyeye/ I hear and I forget, I see and I remember, I do and I understand. SkyEye SkyEye SkyEye SkyEye SkyEye 1. SkyEye PC pervasive computing PC I O PDA

More information

穨control.PDF

穨control.PDF TCP congestion control yhmiu Outline Congestion control algorithms Purpose of RFC2581 Purpose of RFC2582 TCP SS-DR 1998 TCP Extensions RFC1072 1988 SACK RFC2018 1996 FACK 1996 Rate-Halving 1997 OldTahoe

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 The Application & Prospect of Business Intelligence in Metallurgical Manufacturing Enterprises in China He Haoran, CTO for AP, 5 Shanghai Baosight Software Co., Ltd (China) The Informization Situation

More information

100Mbps 100Mbps 1000Mbps 100Mbps 1000Mbps 100Mbps 100Mbps PD LXT Mbps 100Mbps 100Mbps 1

100Mbps 100Mbps 1000Mbps 100Mbps 1000Mbps 100Mbps 100Mbps PD LXT Mbps 100Mbps 100Mbps 1 1 3 6 6 7 100BASE-FX 10 100Mbps 13 13 21143-PD 14 LXT970 20 Serial ROM 24 Boot ROM 24 Agilent 25 100Mbps 27 27 37 100Mbps 45 50 54 55 100Mbps 100Mbps 1000Mbps 100Mbps 1000Mbps 100Mbps 100Mbps 21143-PD

More information

Panaboard Overlayer help

Panaboard Overlayer help Panaboard Overlayer Image Capture Software for Electronic Whiteboard (Panaboard) ... 3... 5... 6... 13...14 Panaboard Overlayer 1. 2. 3. 4. 4-1. 4-2. [ / ] ( ) 4-3. 5. 6. 6-1. 6-2. [ / ] ( ) 7. Panaboard

More information

JAEA-Technology indb

JAEA-Technology indb NBI 1 * 2 1 (2008 3 6 ) PLC 311-0193 801-1 1 2 Update of Control System for Auxiliary Pumping and Primary Water Cooling Facilities in JT-60 NBI Katsumi KIKUCHI 1, Noboru AKINO, Noboru EBISAWA, Yoshitaka

More information

WTO

WTO 10384 200015128 UDC Exploration on Design of CIB s Human Resources System in the New Stage (MBA) 2004 2004 2 3 2004 3 2 0 0 4 2 WTO Abstract Abstract With the rapid development of the high and new technique

More information

LSI U320 SCSI卡用户手册.doc

LSI U320 SCSI卡用户手册.doc V1.0 Ultra320 SCSI SCSI 2004 7 PentiumIntel MS-DOS Windows Novell Netware Novell Sco Unix Santa Cruz Operation LSI U320 SCSI SCSI SCSI Integrated Mirroring/Integrated Striping BIOS Firmware LSI U320 SCSI

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

Microsoft PowerPoint - ARC110_栾跃.ppt

Microsoft PowerPoint - ARC110_栾跃.ppt ARC110 软 件 构 架 设 计 的 原 则 和 指 南 课 程 内 容 概 述 介 绍 和 引 言 软 件 构 架 和 构 架 师 软 件 构 架 的 设 计 模 式 框 架 和 参 照 设 计 自 我 介 绍 第 一 代 自 费 留 学 生 : 美 国 南 伊 利 诺 州 立 大 学 (SIUE) 电 机 工 程 学 士 (1984) 及 硕 士 学 位 (1985) 历 任 OwensIllinois,

More information

ebook105-12

ebook105-12 12 12.1 C P U T x X T y Y T x >T y Y P XY Y X P x = 1 / T x P y = 1 / T y ( 1 2-1 ) P y > P x ( 1 2-2 ) C P U = # 12.2 334 C P U 12-1 a I F I D E X E M E M W B C P U 12-1 b C P U C P U t i n s t t i n

More information

. I/O Third Generation Input Output 3GIO PCI Express 3D 10GHz CPU 1Gb Gbps QoS PCI. PCI Express PCI 10 AGP PCI-X HyperTransport PCI 133MB Mu

. I/O Third Generation Input Output 3GIO PCI Express 3D 10GHz CPU 1Gb Gbps QoS PCI. PCI Express PCI 10 AGP PCI-X HyperTransport PCI 133MB Mu No.19 DCE Devices July 1, 2004 2004 6 15 PCI Express Developers Conference 2004 Intel 915P 915G 925X LGA775 P4 -- PCI Express Chipset HubLink Ultra V-Link, PCI Express Desktop.Mobile, Enterprise HyperTransport,

More information

10384 199928010 UDC 2002 4 2002 6 2002 2002 4 DICOM DICOM 1. 2. 3. Canny 4. 5. DICOM DICOM DICOM DICOM I Abstract Eyes are very important to our lives. Biologic parameters of anterior segment are criterions

More information

中国人民大学商学院本科学年论文

中国人民大学商学院本科学年论文 RUC-BK-113-110204-11271374 2001 11271374 1 Nowadays, an enterprise could survive even without gaining any profit. However, once its operating cash flow stands, it is a threat to the enterprise. So, operating

More information

2008 IT 亞東證券投資顧問蕭雅慧於 2007/11/19 上午 09:38:03 下載. 拓墣產研版權所有, 未 2007/11/15

2008 IT 亞東證券投資顧問蕭雅慧於 2007/11/19 上午 09:38:03 下載. 拓墣產研版權所有, 未 2007/11/15 2008IT /15 Agenda 2008 & 2008 2008 & US$B PC/系統潮 網路潮/節能潮 3C潮 企業市場消費者 成熟市場消費者 成熟+新興市場消費者 代 時 代 C 時 P C n P NNoon 1,000 PPCC時 時代 代 G-phone 後PC時代 後PC時代 HDTV IPTV Web NB $500B 100 $100B 10 2003 Source 拓墣產業研究所

More information

Serial ATA ( nvidia nforce4 Ultra/SLI)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Micro

Serial ATA ( nvidia nforce4 Ultra/SLI)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Micro Serial ATA ( nvidia nforce4 Ultra/SLI)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A... 11 (6) Microsoft Windows 2000... 14 Ác Åé å Serial ATA ( nvidia

More information

Microsoft PowerPoint - Performance Analysis of Video Streaming over LTE using.pptx

Microsoft PowerPoint - Performance Analysis of Video Streaming over LTE using.pptx ENSC 427 Communication Networks Spring 2016 Group #2 Project URL: http://www.sfu.ca/~rkieu/ensc427_project.html Amer, Zargham 301149920 Kieu, Ritchie 301149668 Xiao, Lei 301133381 1 Roadmap Introduction

More information

P3V4X JumperFree TM

P3V4X JumperFree TM P3V4X JumperFree TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 1 2 3 4 5 6 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 13 19.3cm (7.6in) COM1 COM2 PS2KBMS JTPWR

More information

Intel® Core2™ i7 Processor

Intel® Core2™ i7 Processor Intel CPU 的 演 進 及 Core2 i7/i5/i3 處 理 器 架 構 之 探 討 報 告 人 : 資 訊 工 程 系 俞 朝 福 中 華 民 國 九 十 九 年 三 月 三 十 一 日 1 PART I Intel 處 理 器 的 演 進 1971~2010 走 過 處 理 器 40 年 2 Intel CPU Pre-x86 4004-- 全 球 第 一 款 微 處 理 器, 於

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

ERP-1

ERP-1 IEEM 3041 ERP Enterprise Resource Planning ERP The Chain from Original Supply to Final Consumption INFORMATION FLOW Transfer Transfer Transfer Transfer Supplier Manufacturing Distribution Retail Outlet

More information

Microsoft Word - 01李惠玲ok.doc

Microsoft Word - 01李惠玲ok.doc 康 寧 學 報 11:1-20(2009) 1 數 位 學 習 於 護 理 技 術 課 程 之 運 用 與 評 值 * 李 惠 玲 ** 高 清 華 *** 呂 莉 婷 摘 要 背 景 : 網 路 科 技 在 教 育 的 使 用 已 成 為 一 種 有 利 的 教 學 輔 助 工 具 網 路 教 學 的 特 性, 在 使 學 習 可 不 分 時 間 與 空 間 不 同 進 度 把 握 即 時 性 資

More information

<4D6963726F736F667420506F776572506F696E74202D20C6F3D2B5BCB0B2FAC6B7BCF2BDE92DD6D0D3A2CEC420C1F5B9FAD3B1205BBCE6C8DDC4A3CABD5D>

<4D6963726F736F667420506F776572506F696E74202D20C6F3D2B5BCB0B2FAC6B7BCF2BDE92DD6D0D3A2CEC420C1F5B9FAD3B1205BBCE6C8DDC4A3CABD5D> 企 业 及 产 品 简 介 COMPANY & PRODUCTS INTRODUCTION 山 东 泗 水 康 得 新 复 合 材 料 有 限 公 司 SHANDONG SISHUI KANGDE XIN COMPOSITE MATERIAL CO., LTD. 集 团 概 况 KDXGROUP OVERVIEW 1 拥 有 两 大 产 业 群 : 预 涂 膜 和 光 学 膜 1. GROUP HAS

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in 5 28-3D IC Low-Cost and TSV-free Monolithic 3D-IC with Heterogeneous Integration of Logic, Memory and Sensor Analogy Circuitry for Internet of Things 綉 3D IC (MOSFET) 40 50% 3D IC 3D IC IO(ultra-wide-IO)

More information

UDC 厦门大学博硕士论文摘要库

UDC 厦门大学博硕士论文摘要库 10384 9924012 UDC 2002 5 2002 2002 2002 5 1 Study on High Speed Switch System and Their ASIC Frontend Design Thesis for MS By Shuicheng Cai Supervisor: Prof. Donghui Guo Department of Physics Xiamen Unviersity

More information

<4D6963726F736F667420576F7264202D205F4230365FB942A5CEA668B443C5E9BB73A740B5D8A4E5B8C9A552B1D0A7F75FA6BFB1A4ACFC2E646F63>

<4D6963726F736F667420576F7264202D205F4230365FB942A5CEA668B443C5E9BB73A740B5D8A4E5B8C9A552B1D0A7F75FA6BFB1A4ACFC2E646F63> 運 用 多 媒 體 製 作 華 文 補 充 教 材 江 惜 美 銘 傳 大 學 應 用 中 文 系 chm248@gmail.com 摘 要 : 本 文 旨 在 探 究 如 何 運 用 多 媒 體, 結 合 文 字 聲 音 圖 畫, 製 作 華 文 補 充 教 材 當 我 們 在 進 行 華 文 教 學 時, 往 往 必 須 透 過 教 案 設 計, 並 製 作 補 充 教 材, 方 能 使 教 學

More information

投影片 1

投影片 1 9 1 9-1 Windows XP Windows Server 2003 Mac OS Linux, 都 (OS, Operating System ) 2 3 , 來, 行 3 理 行 4 ,, (UI, User Interface), 滑, 令 列 (CLI, Command-Line Interface) (GUI, Graphical User Interface) 2 5 令 列,

More information

VASP应用运行优化

VASP应用运行优化 1 VASP wszhang@ustc.edu.cn April 8, 2018 Contents 1 2 2 2 3 2 4 2 4.1........................................................ 2 4.2..................................................... 3 5 4 5.1..........................................................

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9>

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9> 數位系統導論 蔡宗漢 (Tsung-Han Tsai) Dept. of E.E., N.C.U. 1 教學目標 : 1 了解數位電子電路的基本原理, 例如資訊的二進位系統 布林代數 2 了解數位電子電路的基本原件, 如 : 組合電路 循序電路 加法器 比較器 等等 授課大綱 : 1 數位邏輯的原理 2 元件的認識( 如 AND/OR 閘, 加法器 ) 3 數位邏輯功能單元 4 數位邏輯的設計 2

More information

Microsoft Word - template.doc

Microsoft Word - template.doc HGC efax Service User Guide I. Getting Started Page 1 II. Fax Forward Page 2 4 III. Web Viewing Page 5 7 IV. General Management Page 8 12 V. Help Desk Page 13 VI. Logout Page 13 Page 0 I. Getting Started

More information

Abstract Today, the structures of domestic bus industry have been changed greatly. Many manufacturers enter into the field because of its lower thresh

Abstract Today, the structures of domestic bus industry have been changed greatly. Many manufacturers enter into the field because of its lower thresh SWOT 5 Abstract Today, the structures of domestic bus industry have been changed greatly. Many manufacturers enter into the field because of its lower threshold. All of these lead to aggravate drastically

More information

Microsoft Word - PZ series.doc

Microsoft Word - PZ series.doc 叠 层 片 式 铁 氧 体 磁 珠 P 系 列 Multilayer Chip Ferrite Bead P Series Operating Temp. : -4 ~ +8 特 征 FEATUES 内 部 印 有 银 电 极 的 叠 层 结 构, 铁 氧 体 屏 蔽 无 串 扰 Internal silver printed layers and magnetic shielded structures

More information

01CP-WX3030WNetc_CO_ENG.indd

01CP-WX3030WNetc_CO_ENG.indd Data Video Projector User s Manual (Concise) ModelS: 8928A/8930A/8931WA/ 8933W Information in this Guide may change due to product improvements. To obtain the latest manuals, literature, and software please

More information

TX-NR3030_BAS_Cs_ indd

TX-NR3030_BAS_Cs_ indd TX-NR3030 http://www.onkyo.com/manual/txnr3030/adv/cs.html Cs 1 2 3 Speaker Cable 2 HDMI OUT HDMI IN HDMI OUT HDMI OUT HDMI OUT HDMI OUT 1 DIGITAL OPTICAL OUT AUDIO OUT TV 3 1 5 4 6 1 2 3 3 2 2 4 3 2 5

More information

03243AA_CH05.indd

03243AA_CH05.indd CHAPTER 5 週 資 訊 科 技 概 論 電 腦 的 週 邊 設 備 邊 設 備 泛 指 主 機 以 外 的 相 關 硬 體 設 備, 這 些 設 備 依 其 用 途, 可 區 分 為 輔 助 儲 存 設 備 ( 輔 助 記 憶 體 ) 輸 入 設 備 及 輸 出 設 備 等 3 大 類 ( 圖 5-1) 筆 記 型 電 腦 則 為 了 攜 帶 方 便, 會 將 常 用 的 週 邊 設 備 整

More information

相 关 知 识 1 计 算 机 工 作 原 理 1946 年 2 月, 世 界 上 第 一 台 电 子 计 算 机 ENIAC (Electronic Numerical Integrator And Computer, 电 子 数 字 积 分 计 算 机 ) 诞 生 于 美 国 宾 夕 法 尼 亚

相 关 知 识 1 计 算 机 工 作 原 理 1946 年 2 月, 世 界 上 第 一 台 电 子 计 算 机 ENIAC (Electronic Numerical Integrator And Computer, 电 子 数 字 积 分 计 算 机 ) 诞 生 于 美 国 宾 夕 法 尼 亚 第 二 章 认 识 我 的 计 算 机 从 办 公 打 字 到 电 脑 游 戏 从 信 息 检 索 到 手 机 娱 乐 从 社 交 网 络 到 电 子 商 务 从 电 影 大 片 到 航 天 飞 行, 到 处 都 有 计 算, 到 处 都 离 不 开 计 算 机 它 作 为 信 息 处 理 的 关 键 设 备, 已 成 为 现 代 社 会 人 们 生 产 与 生 活 的 基 本 工 具, 在 国 民

More information

作 業 系 統 簡 介 光 有 電 腦 硬 體, 會 不 容 易 使 用 必 須 要 有 適 當 的 程 式, 才 方 便 操 作 硬 體 衍 生 作 業 系 統 需 求 : 提 供 方 便 使 用 者 執 行 程 式 平 台 有 效 使 用 各 種 電 腦 硬 體 資 源 Jingo C. Lia

作 業 系 統 簡 介 光 有 電 腦 硬 體, 會 不 容 易 使 用 必 須 要 有 適 當 的 程 式, 才 方 便 操 作 硬 體 衍 生 作 業 系 統 需 求 : 提 供 方 便 使 用 者 執 行 程 式 平 台 有 效 使 用 各 種 電 腦 硬 體 資 源 Jingo C. Lia 第 三 章 作 業 系 統 概 論 Reporter : Jingo C. Liao 廖 正 宏 E-mail : jingo@mail.tku.edu.tw 章 節 列 表 1. 什 麼 是 作 業 系 統 2. 作 業 系 統 的 主 要 功 能 3. 作 業 系 統 的 核 心 程 式 4. 作 業 系 統 的 演 進 歷 史 5. 常 見 流 行 的 作 業 系 統 Jingo C. Liao

More information

A Study on Grading and Sequencing of Senses of Grade-A Polysemous Adjectives in A Syllabus of Graded Vocabulary for Chinese Proficiency 2002 I II Abstract ublished in 1992, A Syllabus of Graded Vocabulary

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

<4D6963726F736F667420576F7264202D20312D3120B9ABBFAAD7AAC8C3CBB5C3F7CAE9A3A8C9EAB1A8B8E5A3A92E646F63>

<4D6963726F736F667420576F7264202D20312D3120B9ABBFAAD7AAC8C3CBB5C3F7CAE9A3A8C9EAB1A8B8E5A3A92E646F63> 广 西 新 豪 智 云 技 术 股 份 有 限 公 司 ( 申 报 稿 ) 推 荐 主 办 券 商 二 〇 一 六 年 一 月 声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和

More information

Abstract Since 1980 s, the Coca-Cola came into China and developed rapidly. From 1985 to now, the numbers of bottlers has increased from 3 to 23, and

Abstract Since 1980 s, the Coca-Cola came into China and developed rapidly. From 1985 to now, the numbers of bottlers has increased from 3 to 23, and Abstract Since 1980 s, the Coca-Cola came into China and developed rapidly. From 1985 to now, the numbers of bottlers has increased from 3 to 23, and increases ulteriorly. When the Coca-Cola company came

More information

2006 6 6-186 - 2006 6 6-187 - 2006 6 6 Application of GPRS in Agricultural Monitoring System GAO Xiang-yang, WANG Ku, LI Mo-xue (College of Information and Electrical Engineering, China Agricultural University,

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information