Microsoft PowerPoint - FINAL.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - FINAL.ppt"

Transcription

1 采用传统壁挂式 TRIAC 调光器 调控 LED 亮度

2 采用传统壁挂式 TRIAC 调光器调控 LED 亮度 李云龙 美国国家半导体电源管理产品应用工程师 2

3 内容纲要 目前半导体照明采用的光源及其相关的配套设施 传统 Triac 调光器的工作原理以及利用 TRIAC 调光器调控 LED 亮度时所面对的问题 美国国家半导体最新推出支持 TRIAC 调光器的离线 LED 驱动器可以直接替换现有的照明系统 总结 3

4 半导体照明及其应用

5 半导体照明的未来发展趋势 高亮度 LED 的成本持续下降, 而且性能不断的提高 预计在不久的将来,LED 的光效将可媲美小巧的荧光灯, 而且 LED 的寿命更长, 以及 不含水银 一般半导体照明产品必须满足以下条件 必须符合照明系统的标准规格, 例如 PAR30/38, T5/T8.. 必须与包括壁挂式调光器开关等现有的配套装置兼容 5

6 控制亮度的 TRIAC 调光器 目前最常用的亮度调控方法是采用 TRIAC ( 三端双向可控硅 ) 调光器进行调控, 但其缺点是只适用于白炽灯泡或卤素灯!! a 灯泡 b TRIAC 调光器 n 250 kω linear pot 0.1µF 3.3kΩ c MT2 G 双向触发器二极管 (diac) MT1 Triac 6

7 利用传统 TRIAC 调光器调控 LED 的亮度 用 LED 替换白炽灯并且可以沿用原有的照明设施, 保留原有的低成本 TRIAC 调光器及相关配套装置!! a? b 3.3 kω MT2 250 kω linear pot c G MT1 Triac a Light Bulb b n 0.1 µf 双向触发器二极管 (diac) 3.3 kω MT2 TRIAC 调光器 250 kω linear pot c G MT1 Triac n 0.1 µf 双向触发器二极管 (diac) TRIAC 调光器 7

8 传统 TRIAC 调光器的工作原理 及利用 TRIAC 调光器调控 LED 亮 度时所面对的问题

9 TRIAC 调光器的工作原理 由 R1 R2 及 C1 组成的 RC 延时电路可以令 TRIAC 调光器延迟启动, 直到 C1 的电压上升至触发交流二极管 (Diac) 的触发电压 电位器的电阻越大 ( 滑动指针越向下滑移 ), 启动延迟时间便越长 这样可缩短 TRIAC 调光器的 导通时间 或 导通角 (θ) 负载获得的平均能量就会减少 9

10 TRIAC 调光器适用于白炽灯... 由于白炽灯是真正的阻性负载, 因此 TRIAC 调光器可用于白炽灯 脉冲发生器启动时, 闸流晶体管会突然启动 当电流跌至维持电流以下时, 闸流晶体管会在电压接近过零点时断开 电感器及电容器会抑制电压尖峰, 以便减少传导型的电磁干扰 电灯本身会对 L-C 滤波器产生阻尼, 而且可为闸流晶体管提供持续导通电流 10

11 TRIAC Dimmer 不适用于一般的交流 / 直流 LED 驱动器... 大部分荧光管 小型荧光灯以及 LED 都贴有一张说明纸声明 不适用于连接 TRIAC 调光器调控亮度 因为对于调光器来说, 这类灯具并非真正的阻性负载 一般的交流 / 直流开关模式 LED 驱动器 11

12 TRIAC 调光器与交流 / 直流 SMPS 的接口问题 当 TRIAC 调光器导通时, 交流电压会突然对输入端的 LC 滤波器充电, 这样可能会引起输入电流的震荡 典型的 TRIAC 调光器开关内含可抑制电磁干扰的电容器 ( nf), 由于这个电容器与 TRIAC 调光器并联连接, 因此可能会造成较大的浪涌电流流入输入电容器 尤其是调光器关断后, 这个电流可能导致输入电压大幅上升 许多交流 / 直流 LED 驱动器采用简单的桥式整流方法 根据这个方法, 驱动器只会在一段极短时间内汲取电源供应的电流 因此 TRIAC 调光器没有维持持续导通的电流, 所以无法正常工作 若直接连接 AC/DC SMPS 系统, TRIAC 调光器便没有维持导通的电流, 也不会产生阻尼效应!! 12

13 支持 TRIAC 调光的离线 LED 驱动器 可实现灯泡的直接替换

14 支持 TRIAC 调光的离线 LED 驱动器 LM3445 a LM3445 b 3.3 kω a TRIAC 调光器 n 灯泡 250 kω linear pot 0.1 µf b 3.3kΩ c MT2 G 双向触发器二极管 (diac) MT1 Triac n 250 kω linear pot 0.1 µf c MT2 G 双向触发器二极管 (diac) MT1 TRIAC 调光器 Triac 采用由 LM3445 驱动的 LED 直接替换所有白炽灯, 而无需改变原有的低成本 TRIAC 调光器及相关配套装置!! 14

15 支持 TRAC 调光的 LM3445 LED 驱动器 : 电路图及结构框图 7.2V 230 DIM Decoder 750mV 15

16 LM3445 导通角 检测电路 D1 Q1 外置串行稳压器将 TRIAC 调光器的整流电压转换为一个 LM3445 芯片的 BLDR 引脚可以检测的电平 由于 Q1 的源极没有电容, 因此 BLDR 引脚的电压就跟随 TRIAC 整流电压一同上升或下降 7.2V 230 当 TRIAC 处于关态时维持 VCC 引脚的电压 为 LM3445 芯片提供正常的工作电压 V BLDR 16

17 LM3445 电流泄放电阻 为何必须加设电流泄放电阻? -- 在 TRIAC 调光器没有启动前, 必须为其提供直流通路, 以确保其调控电路可以正常工作 仿真 TRIAC 维持导通的效果!! 7.2V 230 当 BLDR 引脚电压低于 7.21V 的阈值时 ( 即 TRIAC 仍未启动 ) 电流泄放 MOSFET 先导通, 给稳压器提供一个小负载 (230 ohm) DIM Decoder 在 TRIAC 没有启动前, 需要有一个电流路径来给延迟电路充电, 所以一个预置的负载是非常必要的!! BLDR 引脚电压高于 7.21V 时 ( 即 TRIAC 调光器已启动 ) 电流泄放电阻会断开, 以便提高效率 17

18 LM3445 相位检测及 DIM 调光信号译码器 相位检测 导通角检测电路及其滤波器可在滤波器 1 (FILTER1) 引脚产生直流电平, 而这一电平与 TRIAC 调光器的导通角是相对应的 7.2V 230 DIM 调光信号译码器 FILTER1 引脚的直流电压和 5kHz 的锯齿波比较, 所得到的脉冲宽度和 FILTER1) 引脚的平均电压成反比 DIM Decoder 由于 MOSFET 翻转比较器的输出, 因此 MOSFET 漏极电压的持续时间与输入 TRIAC 电压的占空比成正比 RC 滤波器将该电压平滑滤波, 得到一个稳定的 FILTER2 电压, 然后将这个平均电压用作 PWM 控制器的参考电压, 来调整 LED 的连续电流 18

19 LM3445 浮动的恒流降压稳压器 Q2 开 Q2 关 19

20 固定的关断时间控制方法简介 固定关断时间控制架构 : 其运作原理非常简单, 也就是设定关断时间和允许导通时间 这样, 开关频率是随着输入或输出电压的改变而变化 固定关断时间控制功能的优点 当输入或输出电压改变时, 都能确保流过 LED 的平均电流及纹波电流恒定不变 即使输入电压改变或 LED 的压降 (V LED ) 随着温度变化而出现漂移,LED 都有一致的亮度!! 低端电流检测, 检测电阻不是总与 LED 串联一起 这样可提高效率 20

21 LM3445 LED 驱动器的典型波形 (I) 放大 Internal 5 khz sawtooth V filter1 V DIM DIM 比较器输出端的内置 MOSEFT 漏极信号 750 mv V filter2 V BLDR V filter1 相位检测 DIM 调光信号译码器 放大 专用的相位检测器及 DIM 调光信号译码器先将 TRIAC 的斩波信号转化为模拟或数字调整信号, 这样便可利用 TRIAC 调光器对 LED 的亮度进行线性调整!! 21

22 LM3445 LED 驱动器的典型波形 (II) Vref (750 mv) V filter 2 V CS V gate ILED I_ave 降压稳压器 随着 TRIAC 调光器的导通角逐渐缩小,LM3445 会降低它内部比较器的参考电压 (V_filter2) 只要调低降压转换器的占空比, 便可调低 LED 的连续电流 这样可以免去 120 Hz 的闪烁!! 22

23 谷底填充方式的功率因数校正电路 充电期 放电期 谷底填充电路的作用 : Vin > Vin < 让降压稳压器可在整个交流周期都能够取用输入线路的供电 无源功率因数校正功能 在 100% 亮度时, 功率因数可以达到 0.9, 可以满足美国环保局能源之星的规定! 这样, 即使在 TRIAC 调光器导通角较小时, 也可以保证降压转换器正常工作 避免出现 120 Hz 的闪烁! 23

24 LM3445 主 / 从系统的操作 LM3445 可以允许级连的方式工作, 这样便可利用一个 TRIAC 调光器来控制多串 LED 的亮度 可以实现对多串 LED 亮度一致的控制!! 当 LM3445 芯片的 FLTR1 引脚电压高过 4.9V ( 典型值 ), 最理想是连接到 VCC 时, 斜坡比较器被关闭, 使调光信号译码器失效 成为被动控制的 LM3445 只要将单一或多片 LM3445 驱动器或 PWM LED 驱动器 ( 从属器 ) 的 DIM 引脚连接一起, 便可利用一颗 LM3445 芯片 ( 主控器 ) 控制多颗从属芯片 24

25 LM VAC 演示板 网上定购网址 : 25

26 LM VAC 演示板的详细电路图 Optional, possible no load or short 26

27 LM VAC 演示板的效率 27

28 LM Vac 演示套件 Efficiency of 220Vac LM3445 demo Kit Efficiency (% ) Input Voltage (VAC) 8 个串联 1W LED 28

29 LM3445 LED 驱动器的测试波形 全亮 低亮 CH1: Buck MOSFET 的栅极信号 CH2: LM3445 芯片 Vcc 引脚的电压 CH3: LED 电流 CH4: Buck 的输入电压 随着 TRIAC 调光器的导通角的缩小,Buck 电路是通过调低占空比来减小 LED 的平均电流的 这样可免去 120 Hz 的闪烁!! 29

30 总结 一般的交流 / 直流 LED 驱动器无法支持传统壁挂式 TRIAC 调光器 美国国家半导体最新推出支持 TRIAC 调光器的离线 LED 驱动器能够解决这个问题, 确保 LED 可以替换已配有标准 TRIAC 壁挂式调光器的白炽灯或卤素灯系统 这款驱动器可在 100:1 的亮度范围内进行线性调整, 而且保证不会产生 120 Hz 的闪烁 此外, 这款驱动器还有功率因数校正 (PFC) 功能, 以及可以支持主 / 从系统操作 30

31 更多有关信息 TRIAC 调光离线 LED 驱动器 : 通用照明 : Webench LED Designer 网上设计工具 : LED 参考设计 : 31

32 32

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

产品编号 : LEDARE 里代尔 LED 灯泡 :E27,5000 开, 球形 / 乳白色,600 流明 LED1420G8K5 供应商编号 : 信息 600 流明 5000 开 小于一秒 可调光 灯具尺寸 ( 单位 : 毫米 ) 120 x 60 8 瓦 600 流

产品编号 : LEDARE 里代尔 LED 灯泡 :E27,5000 开, 球形 / 乳白色,600 流明 LED1420G8K5 供应商编号 : 信息 600 流明 5000 开 小于一秒 可调光 灯具尺寸 ( 单位 : 毫米 ) 120 x 60 8 瓦 600 流 LEDARE 里代尔 LED 灯泡技术规格 产品编号 : 00301423 LEDARE 里代尔 LED 灯泡 :E27,600 流明, 可调光 / 球形透明 LED1423G9/LED1427G9 供应商编号 : 22858/21633 信息 600 流明 小于 1 秒 可调光 灯具尺寸 ( 单位 : 毫米 ) 120 x 60 8.6 瓦 600 流明 灯具功率因素 0.7 显色 87 产品编号

More information

罗姆的电源模块

罗姆的电源模块 可简单构成24V/15V/12V/5V电源 罗姆的电源模块 无需电路设计 罗姆半导体集团 省电 无需热设计 节省空间 IoT() AC/DC 1 / 1 1 18kWh/ 8kWh/ 1,440/ 5.5W 5.0W 12.5W 5.0W LDO DC/DC 0 20 40 60 80 10 (%) LOAD REGULATION(DC/DC Only) LDO 10 LDO 50 DC/DC BP5293-50

More information

NCL30100 & NCL DC-DC Solution (S-Chi).ppt [只读]

NCL30100 & NCL DC-DC Solution (S-Chi).ppt [只读] www.onsemi.cn NCL30100 及 NCL30160 高能效 DC-DC LED 驱动方案 议程 NCL30100SNT1G: 固定 Toff 时间开关模式 LED 驱动器控制器 NCL30160DR2G: 驱动 1.0 A 恒流降压稳流器 2 议程 NCL30100SNT1G: 固定 Toff 时间开关模式 LED 驱动器控制器 NCL30160DR2G: 驱动 1.0 A 恒流降压稳流器

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC [兼容模式]

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC  [兼容模式] SMPS Solutions with On-Bright Power IC Energy Star and CEC for EPS EPS: Single Voltage External AC-DC and AC-AC Power Supplies Energy Star 比 CEC 和 The Federal Standard 要严格 能效标准 : AC input 115/230V, 25%

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

项目 / 参数 名称 Fixtures) 荧光灯的电气和光度测量 IES LM 光输出 描述 LED 光引擎和一体化 LED 灯的电气 光度特性与温度的关系 IES LM 室内荧光灯具的光度测试的认定方法 IES LM 户外荧光灯具的光度测试 IES LM-10

项目 / 参数 名称 Fixtures) 荧光灯的电气和光度测量 IES LM 光输出 描述 LED 光引擎和一体化 LED 灯的电气 光度特性与温度的关系 IES LM 室内荧光灯具的光度测试的认定方法 IES LM 户外荧光灯具的光度测试 IES LM-10 名称 : 佛山市沃特测试技术服务有限公司地址 : 广东省佛山市顺德区陈村镇顺联国际机械城 2 座二楼 13-19 号注册号 :CNAS L6478 认可依据 :ISO/IEC 17025 EPA 能源之星以及 CNAS 特定认可要求 签发日期 :2017 年 03 月 23 日 有效期至 :2022 年 10 月 21 日 附件 6 认可的 能源之星 检测能力范围 项目 / 参数名称 未分组 1 灯具

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

AP8810 LED驱动IC

AP8810 LED驱动IC 高亮度 LED 灯驱动控制 IC 概述 是一款高效率, 稳定可靠的高亮度 LED 灯驱动控制 IC, 内置高精度比较器, off-time 控制电路, 恒流驱动等电路, 特别适合大功率, 多个高亮度 LED 灯串恒流驱动 采用固定 off-time 控制工作方式, 其工作频率可高达 2.5MHz, 可使外部电感和滤波电容 体积减少, 效率提高 off-time 最小时间, 可通过外部电阻和电容进行设置,

More information

PSpice MOSFETs 文档 得克萨斯大学泰勒分校电气工程系 Department of Electrical Engineering University of Texas at Tyler 编译 : 陈拓 2010 年 12 月 12 日 原文作者 :David M. Beams, 25

PSpice MOSFETs 文档 得克萨斯大学泰勒分校电气工程系 Department of Electrical Engineering University of Texas at Tyler 编译 : 陈拓 2010 年 12 月 12 日 原文作者 :David M. Beams, 25 PSpice MOSFETs 文档 得克萨斯大学泰勒分校电气工程系 Department of Electrical Engineering University of Texas at Tyler 编译 : 陈拓 2010 年 12 月 12 日 原文作者 :David M. Beams, 25 October 2007, Tyler, TX 75799 下载网址 :http://ee.uttyler.edu/david_beams/projects/pspice%20archives/mosfets.zip

More information

LED Smart Lighting with PFC & DALI solution

LED Smart Lighting with PFC & DALI solution 带 PFC 及 DALI 通讯的单芯片 LED 解决方案 瑞萨电子 RL78I1A 系列单片机的应用 瑞萨电子 ( 中国 ) 有限公司通用产品中心应用技术部史子光 2013/07/30 方案组成 主变压器用于 PFC 控制及 LED 等高压部分供电 3 通道 LED 降压驱动电路 主控制 MCU: RL78/I1A 调试接口 变阻器 副变压器, 提供 MCU 及其他低压供电 通讯模式开关 DMX&DALI

More information

Slide 1

Slide 1 egan FET 昂首阔步前进 采用氮化镓场效应晶体管 (egan FET) 的 无线电源传送解决方案 宜普电源转换公司 www.epc-co.com.cn 1 议题 无线电源拓扑概述 每种拓扑所取得的无线电源结果 总结 www.epc-co.com.cn 2 概述 输出功率 < 30 W 松散耦合 根据 A4WP 标准的 6.78 MHz(ISM 频带 ) 探讨不同的拓扑 : D 类放大器 ( 电流及电压模式

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

E507d0208a01

E507d0208a01 实验目的. 了解直流稳压电源的组成及各个组成部分的作用. 了解稳压二极管的稳压作用及并联稳压电路的工作原理 3. 了解采用集成稳压器构成固定式及电压可调式稳压电路的方法 网交流电路电变压压电路整波电实验原理 各种电子设备都需要由电压稳定的直流电源供电, 直流稳压电源分为线性电源和开关电源两种, 本实验的研究对象为线性稳压电源 线性稳压电源的组成如图 3.0a. 所示, 图中由 0V 工频交流电通过变压器变压为所需的交流电压,

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和 2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和现场总线模块扩展 是一款经济的通用型, 转速调节可靠, 可根据需要供给能量 U/f 和矢量调节, 安装调试简便,

More information

开关电源入门.PPT [兼容模式]

开关电源入门.PPT [兼容模式] 1. 开 关 电 源 概 念 的 引 入 开 关 电 源 入 门 介 绍 1.1 电 源 的 重 要 性 : ( 对 电 源 的 理 解!) 电 源 犹 如 人 体 的 心 脏, 是 所 有 电 器 设 备 的 动 力 一 切 设 备 需 要 电 源 ; 设 备 更 新, 电 源 也 跟 随 更 新 市 电 220Vac/50Hz 通 常 不 能 直 接 给 设 备 供 电, 因 为 不 同 的 设

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

幻灯片 1

幻灯片 1 PWM 调光应用设计 目录 contents 1 智能调光在 LED 上的实现方法 1 2 PWM 调光 IC 应用设计中的问题及处理方法 3 深爱 LED 产品介绍 智能调光在 LED 上的实现方法 LED 光电特性 -- 容易实现调光 LED 照明常用调光方案 红外 WIFI LED 调光 PWM 调光 0-10V 调光 蓝牙 2.4G 物联网其他 可控硅调光 线性电源 开关电源 LED 照明

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 PFC 和开关电源在电焊机中的应用 傅浩杰 ( 应用技术经理 ) 刘诗裕 ( 应用工程师 ) July 2009 1-1.1 在电网中, 随着电力电子技术的快速发展, 越来越多的电力电子设备在电网中使用, 特别是采用整流桥和电解电容作为前级电路的开关电源和交直交变频电路的广泛使用, 对电网照成了严重的谐波电流污染 有源功率因数校正 (APFC) 技术作为解决谐波电流污染的重要技术, 得到了广泛的研究和发展

More information

< Essential LEDtube > | < Philips >

< Essential LEDtube > | < Philips > Lighting Essential LEDtube - Affrdable LED slutin Essential LEDtube Essential LEDtube is an affrdable LED tube that is suitable fr replacing T8 flurescent lamps. The prduct prvides a natural lighting effect

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

MD71XX 系列高耐压低压差型

MD71XX 系列高耐压低压差型 耐压低压差微功耗型 CMOS 电压稳压电路 MD7XX 系列 120mA MD7XX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流的正电压型电压稳压电路 由于内置有低通态电阻晶体管, 因而输入输出压差低 同时具有高输入电压承受能力, 最高工作电压可达 1V, 适合需要较高耐压的应用电路 特性 : 输出电压精度高 精度 ±3% 输入输出压差低 mv 超低功耗电流 ⒈2uA

More information

Microsoft Word - PT4207_DSB_CH0.1.doc

Microsoft Word - PT4207_DSB_CH0.1.doc 概述 是一款高压降压式 LED 驱动控制芯片, 能适应从 18V 到 450V 的输入电压范围 采用革新的架构, 可实现在 85VAC~265VAC 通用交流输入范围可稳定可靠工作, 并保证系统的高效能 内置输入电压补偿功能极大改善了不同输入电压下 LED 电流稳定性 内置一个 350mA 开关, 并配备外部 MOS 开关驱动端口 对于 350mA 以下的应用无需外部 MOS 开关, 对于高于 350mA

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

11

11 DC-DC 升压稳压变换器设计 一 设计任务 : 设计一个将 12V 升高到 24V 的 DC-DC 变换器 在 电阻负载下, 要求如下 : 1 输出电压 =24V 2 最大输出电流 max =1A 3 当输入 =11~13V 变化时, 电压调整率 S V 2%( 在 =1A 时 ) 4 当 从 变化到 1A 时, 负载调整率 S 5%( 在 =12V 时 ) 5 要求该变换器的在满载时的效率 η

More information

Slide 1

Slide 1 The most Advantage of O2 Micro LED Lighting Solutions 凹凸科技 LED 调光驱动优势剖析 O2micro Lighting innovation 凹凸照明创新 Smart Light, Bright Light--- 智能调光, 眼睛舒服 Free-Dimming For New Life--- 墙壁开关轻松调光 调光原理 只需要墙壁开关实现分段调光或者连续调光

More information

IR_PPT_for_PMTC_Chinese1A.ppt

IR_PPT_for_PMTC_Chinese1A.ppt 不使用桥路的升压 PFC: 单周控制的突破 作者 :Stephen Oliver, 负责 AC-DC 的市场经理国际整流器公司,El Segundo, USA. soliver1@irf.com 在深圳的讲演人 :Israel Serrano, 应用工程师国际整流器公司, 新加坡, iserran1@irf.com 在上海的讲演人 : Peter Chen, 现场应用工程师国际整流器公司, 中国深圳,

More information

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 3.1 共发射极放大电路 3.1.1 电路组成 3.1.2 静态分析 3.1.3 动态分析 3.1.4 静态工作点的稳定 3.1.5 频率特性 3.1.1 电路组成 基极电阻 输入电容 u i C C 2 C 1 CE E 晶体管 集电极电阻 u 0 CC 直流电源

More information

MD71XX 系列高耐压低压差型

MD71XX 系列高耐压低压差型 高速低压差 (LDO) CMOS 电压稳压电路 MD7UXX 系列 120mA MD7UXX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流的正电压型电压稳压电路 由于内置有低通态电阻晶体管, 因而输入输出压差低 同时具有高输入电压承受能力, 最高工作电压可达 1V, 适合需要较高耐压的应用电路 特性 : 输出电压精度高 精度 ±3% 输入输出压差低 mv 超低功耗电流 1uA

More information

TONE RINGER

TONE RINGER 4A 700V N 沟道增强型场效应管 描述 SVF4N70F N 沟道增强型高压功率 MOS 场效应晶体管采用士兰微电子 F-Cell TM 平面高压 VDMOS 工艺技术制造 先进的工艺及条状的原胞设计结构使得该产品具有较低的导通电阻 优越的开关性能及很高的雪崩击穿耐量 该产品可广泛应用于 AC-DC 开关电源,DC-DC 电源转换器, 高压 H 桥 PWM 马达驱动 特点 4A,700V,R

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

N-沟道功率MOS管/ N-CHANNEL POWER MOSFET SIF830

N-沟道功率MOS管/ N-CHANNEL POWER MOSFET SIF830 特点 : 热阻低导通电阻低栅极电荷低, 开关速度快输入阻抗高 符合 RoHS 规范 FEATURES FEATURES: LOW THERMAL RESISTANCE LOW RDS(ON) TO IMIZE CONDUCTIVE LOSS LOW GATE CHARGE FOR FAST SWITCHING HIGH INPUT RESISTANCE 应用 : 低压高频逆变电路续流电流保护电流 APPLICATION:

More information

EG1182 芯片数据手册

EG1182 芯片数据手册 ELECTRONIC GIANT EG1182 芯片数据手册 2013 屹晶微电子有限公司版权所有 REV 1.0 版本号日期描述 版本变更记录 V1.0 2013 年 04 月 12 日 EG1182 数据手册初稿 2 / 13 目录 1. 特点... 4 2. 描述... 4 3. 应用领域... 4 4. 引脚... 5 4.1. 引脚定义... 5 4.2. 引脚描述... 5 5. 结构框图...

More information

HT1215.pub

HT1215.pub HT 单相正弦波逆变控制芯片 芯片管脚图及说明 电气特性 工作电压 :.V~.V 总功耗 :mw 流出 的最大电流 :ma 流入 Vcc 的最大电流 :ma 任意引脚的最大输出灌电流 :ma 任意引脚的最大输出拉电流 :ma 单相开关电路图 : 电源 Vcc,.V~.V,: 外接晶振,MHz C,C :~pf : 遥控,P =H( 高电平时 )PWM~PWM 输出低电平, 当 P =L( 低电平时

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

开关电源原理

开关电源原理 开关电源原理及各功能电路详解 一 开关电源的电路组成 [/b]:: 开关电源的主要电路是由输入电磁干扰滤波器 (EMI) 整流滤波电路 功率变换电路 PWM 控制器电路 输出整流滤波电路组成 辅助电路有输入过欠压保护电路 输出过欠压保护电路 输出过流保护电路 输出短路保护电路等 开关电源的电路组成方框图如下 : 二 输入电路的原理及常见电路 [/b]:: 1 AC 输入整流滤波电路原理 : 1 防雷电路

More information

<4D F736F F D20B2CECAFDB7FBBAC5BBE3D7DC2E646F63>

<4D F736F F D20B2CECAFDB7FBBAC5BBE3D7DC2E646F63> Diode 肖特基二极管 (Schottky Diode) V RRM Peak repetitive reverse voltage 反向重复峰值电压 V RWM Working peak reverse voltage 反向工作峰值电压 V R DC Blocking Voltage 反向直流电压 V R(RMS) RMS Reverse Voltage 反向电压有效值 I F(AV) Average

More information

华微斯帕克 SPE05M50T-A/C 说明书 Control Part 智能功率模块 (IPM), 500V/5A 3 相全桥驱动 主要功能及额定参数 : 500V,5A( 脉冲峰值 )2.4A( 连续电流 ) 下臂 MOSFET 源极输出 内置自举二极管 DIP23-FP SOP23-FP 特点

华微斯帕克 SPE05M50T-A/C 说明书 Control Part 智能功率模块 (IPM), 500V/5A 3 相全桥驱动 主要功能及额定参数 : 500V,5A( 脉冲峰值 )2.4A( 连续电流 ) 下臂 MOSFET 源极输出 内置自举二极管 DIP23-FP SOP23-FP 特点 华微斯帕克 Control Part 智能功率模块 (IPM), 500V/5A 3 相全桥驱动 主要功能及额定参数 : 500V,5A( 脉冲峰值 )2.4A( 连续电流 ) 下臂 MOSFET 源极输出 内置自举二极管 DIP23FP SOP23FP 特点 : 信号高电平有效, 兼容 3.3V 和 5V 的 MCU; 内置防直通保护 ; 内置欠压保护 ; 内部集成温度检测输出 ; 绝缘耐压 :1500V;

More information

Microsoft Word - 单级隔离型36W LED驱动器测试报告-V1.0

Microsoft Word - 单级隔离型36W LED驱动器测试报告-V1.0 PFC 控制器 SA7527 Silan 杭州士兰微电子股份有限公司 单级隔离型 36W LED 驱动器测试报告 -----SA7527 士兰保留说明书的更改权, 恕不另行通知! 产品提升永无止境, 我公司将竭诚为客户提供更优秀的产品! 地址 : 杭州市黄姑山路 4 号 邮编 :310012 电话 :+86-0571-88210880 Http://www.silan.com.cn 传真 :+86-0571-88211612

More information

Microsoft Word - DS-EUC-075S070DVY(SVY)中文版 Rev.A

Microsoft Word - DS-EUC-075S070DVY(SVY)中文版 Rev.A 产品特性 效率高达 91% 恒流输出 极端低温应用至 -55 C 0-10V 调光控制 防雷保护 全方位保护 : 过压保护, 短路保护, 过温保护 IP67 安全特低电压 (SELV) 产品描述 EUC-075S070DVY(SVY) 系列为 75W 户外超低温恒流驱动器产品, 其输入电压范围为 90-305Vac, 且具有超高的功率因数 此系列产品是专为工矿灯, 隧道灯及路灯而设计 超高的效率,

More information

典型应用电路 : 图 1 典型应用电路 订购信息 : 型号包装工作温度过充电电压和浮充电压 HM4067C 盘装, 每盘 2500 只 -40 到 V/13.55V

典型应用电路 : 图 1 典型应用电路 订购信息 : 型号包装工作温度过充电电压和浮充电压 HM4067C 盘装, 每盘 2500 只 -40 到 V/13.55V 4A,12V 铅酸电池充电管理集成电路 概述 : 是 PWM 降压模式 12V 铅酸电池充电管理集成电路, 独立对铅酸电池充电进行自动管理, 具有封装外形小, 外围元器件少和使用简单等优点 具有涓流, 恒流, 过充电和浮充电模式, 非常适合 12V 铅酸电池的充电 在过充电和浮充电模式, 充电电压典型值分别为 14.8V 和 13.55V; 在恒流充电模式, 充电电流通过一个外部电阻设置 如果电池电压低于所设置的过充电电压的

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

: : a) ( ) b) c) 2

: : a) ( ) b) c) 2 3RW34 1 : : a) ( ) b) c) 2 1 1.1... 5 1.2 SIKOSTART 3RW 34... 5 1.3... 5 2 2.1... 5 2.2... 5 2.3 3... 6 2.4 3... 8 3... 10 4 4.1... 13 4.2... 13 4.3... 13 4.4... 14 4.5... 19 4.6... 20 4.7... 20 5 5.1...

More information

TP83 dsh_无页脚.doc

TP83 dsh_无页脚.doc DC/DC 升压变换芯片 CMD83 系列 一 概述 CMD83 系列芯片是采用 CMOS 工艺制造的静态电流极低的 VFM 开关型 DC/DC 升压转换器 该芯片由振荡器 VFM 模式控制电路 Lx 开关驱动晶体管 基准电压单元 误差比较放大器 电压 采样电阻及 V LX 限幅电路等组成 CMD83 系列升压转换器采用变频的方式, 因此较国内外同类产品具有更低的纹波 更强的驱动能力 效率高等特点,

More information

R r r R r r x V A x V A 1 2 1 2 F = s mv 2 mv 1 2 2 u u 2f 2f u f u f (v) Q = cm t = Q = Lm = Q = Lm Q = qm Q = W / J 2 Q = I Rt 1 1 1 1 = + + + R R1 R2 R n 2gl 4 3 B mgl = 1 2 mv 2 B

More information

Microsoft Word - JC53XX doc

Microsoft Word - JC53XX doc 低压差微功耗型 LDO CMOS 电压稳压电路 JC53XX 系列 500mA JC53XX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流, 正电压型电压稳压电路 由于内置有低通态电阻晶体管, 因而输入输出压差低 最高工作电压可达 10V, 适合需要较高耐压的应用电路 特性 : 输出电压精度高 精度 ±2% 输入输出压差低 15mV Iout=1mA 超低功耗电流 ⒈2uA

More information

投影片 1

投影片 1 中 國 文 學 學 與 教 系 列 照 顧 學 生 的 多 樣 性 教 學 經 驗 分 享 會 與 教 系 列 照 顧 學 生 的 多 樣 性 地 利 亞 修 女 紀 念 學 校 ( 協 和 ) 李 浩 芝 老 師 教 學 經 驗 分 享 會 1 學 校 背 景 直 資 學 校 只 收 新 移 民 全 年 收 生 2 中 三 推 介 困 難 : 欠 缺 興 趣, 認 為 與 日 常 生 活 無 關

More information

Microsoft PowerPoint - Dimmable LED Driver_230Vac_40V700mA_ iW3614_PQ2016_Aug. 10,2011

Microsoft PowerPoint - Dimmable LED Driver_230Vac_40V700mA_ iW3614_PQ2016_Aug. 10,2011 Dimmable LED Driver with iw3614 (Input 180~264Vac Output 40V700mA) Design Purpose and Feature (AC input 180~264Vac,Output 40V700mA 12 LEDs_ iw3614) Isolated ac-dc offline Input 230Vac, Output 12 LEDs 700mA

More information

<4D F736F F D20464D A3A8B5A5BDDAEFAEB5E7B3D8B1A3BBA44943A3A9>

<4D F736F F D20464D A3A8B5A5BDDAEFAEB5E7B3D8B1A3BBA44943A3A9> 概述 FM2113 内置高精度电压检测电路和延迟电路, 是用于单节锂离子 / 锂聚合物可再充电电池的保护 IC 此 IC 适合于对单节锂离子 / 锂聚合物可再充电电池的过充电 过放电和过电流进行保护 特点 高精度电压检测电路 各延迟时间由内部电路设置 ( 无需外接电容 ) 有过放自恢复功能 工作电流 : 典型值 3uA, 最大值 6.0uA(VDD=3.9V) 连接充电器的端子采用高耐压设计 (CS

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

<4D F736F F D20CAB5D1E9C6DF20BCAFB3C9D6B1C1F7CEC8D1B9B5E7D4B4C9E8BCC62E646F63>

<4D F736F F D20CAB5D1E9C6DF20BCAFB3C9D6B1C1F7CEC8D1B9B5E7D4B4C9E8BCC62E646F63> 一 实验目的. 了解集成稳压器的特性和使用方法 实验七集成直流稳压电源设计 (4 学时 ). 掌握集成稳压器主要性能指标的测试方法 3. 学会集成稳压器的设计方法 二 实验仪器及器件 序号名称型号 / 规格数量 面包板 BDCL 块 数字式万用表 UT58 块 3 数字存储示波器 TDS 00 台 4 三端集成稳压器 LM37 只 5 变压器 ( 实验室提供 ) 0V/V 只 6 整流二极管 N4007

More information

介绍

介绍 脉宽调制灯光亮度调节器集成电路 介绍 是双极工艺的脉宽调制集成电路, 驱动一个 N 沟道功率 MOSFET 场效应管, 用于高电位开关控制电路 是理想的灯光亮度 ( 明暗 ) 控制集成电路 例如 : 应用在仪表板上 ( 替代 U6083B) 附录中的 驱动一个 P 沟道功率 MOSFET 场效应管, 可用于 24V 电路 特点 脉宽调制时钟频率高达 2kHz 短路 掉载 过压和 V S 电源反接保护

More information

地 理 志 鏡 止 煞, 來 達 到 安 宅 的 效 果 4. 門 神 符 紙 : 於 門 板 繪 製 門 神, 作 為 宅 第 的 守 護, 民 宅 所 使 用 的 門 神 題 材, 多 為 天 官 賜 福 或 文 武 官 員 符 紙 是 以 畫 了 符 咒 的 紙 懸 掛 室 內, 或 加 框

地 理 志 鏡 止 煞, 來 達 到 安 宅 的 效 果 4. 門 神 符 紙 : 於 門 板 繪 製 門 神, 作 為 宅 第 的 守 護, 民 宅 所 使 用 的 門 神 題 材, 多 為 天 官 賜 福 或 文 武 官 員 符 紙 是 以 畫 了 符 咒 的 紙 懸 掛 室 內, 或 加 框 第 二 篇 - 人 文 地 理 ( 五 ) 民 宅 的 祈 福 辟 邪 物 臺 灣 早 期 移 民, 因 為 離 鄉 背 井, 對 於 新 環 境 的 陌 生, 以 及 生 存 的 不 容 易, 再 加 上 承 襲 閩 粵 地 區 的 習 慣, 所 以 住 屋 講 究 的 是 祈 福 辟 邪 除 了 建 屋 之 前 要 看 地 理 風 水, 在 建 屋 時 更 有 許 多 禁 忌 要 遵 守 另 外,

More information

公司简介

公司简介 上海芯龙半导体技术股份有限公司 公司简介 上海芯龙半导体技术股份有限公司是一家专业从事电源管理类模拟集成电路开发的设计公司 ; 芯龙的核心研发和管理团队由一批来自业界半导体设计公司的资深专家组成 ; 芯龙将业界先进的设计技术与亚太地区的本土优势产业链相结合, 服务全球市场, 为客户提供快速 高效 高性价比的全系列电源管理方案和服务 芯龙半导体在高压 高效率 大功率的电源管理集成电路领域拥有多年的技术积累和实践经验

More information

PIN 脚分布图 : 管脚描述 : 管脚号 管脚名 管脚描述 1 SW 功率开关的漏端 2 GND 信号和功率地 3 DIM 开关使能 模拟和 PWM 调光端 4 CS 电流采样端, 采样电阻接在 CS 和 VIN 端之间 5 VIN 电源输入端, 必须就近接旁路电容 芯片框图 :

PIN 脚分布图 : 管脚描述 : 管脚号 管脚名 管脚描述 1 SW 功率开关的漏端 2 GND 信号和功率地 3 DIM 开关使能 模拟和 PWM 调光端 4 CS 电流采样端, 采样电阻接在 CS 和 VIN 端之间 5 VIN 电源输入端, 必须就近接旁路电容 芯片框图 : 40V/800mA 高调光比 LED 恒流驱动器 特点 : 极少的外部元件 5V 到 40V 的电压输入范围 ±3% 的输出电流精度 LED 开路保护 LED 短路保护 过温保护 最大输出电流 800mA 复用 DIM 模拟调光 复用 DIMPWM 调光 高达 97% 的效率 输出可调的恒流控制方法应用 : 车载 LED 灯 LED 舞台灯 太阳能 LED 灯 LED 信号灯 LED 路灯 MR16/11

More information

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作 安 徽 : 道 德 信 贷 帮 助 想 干 事 的 好 人 干 成 事 摘 要 安 徽 省 实 施 道 德 信 贷 工 程 两 年 多 来, 通 过 对 道 德 模 范 和 身 边 好 人 优 先 评 级 授 信 优 惠 贷 款 利 率 等 举 措, 为 有 需 要 的 道 德 模 范 和 身 边 好 人 化 解 资 金 之 渴 道 德 模 范 和 身 边 好 人 用 善 行 义 举 温 暖 了 社

More information

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项 关 于 申 报 2016 年 度 广 东 省 基 础 与 应 用 基 础 研 究 专 项 资 金 ( 省 自 然 科 学 基 金 ) 项 目 的 通 知 来 源 : 广 东 省 科 技 厅 基 础 研 究 与 科 研 条 件 处 发 布 日 期 : 2015-08-12 粤 科 函 基 字 (2015)1150 号 按 照 广 东 省 省 级 财 政 专 项 资 金 管 理 办 法 规 定,2016

More information

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢?

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢? 撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢? 普 雷 斯 科 特 完 全 没 有 掩 饰 他 的 紧 张, 我 想 那 些 墙 壁 很 厚, 它

More information

电源管理类产品

电源管理类产品 5A 四节锂电池充电管理集成电路 CN3704 概述 : CN3704 是 PWM 降压模式四节锂电池充电管理集成电路, 独立对四节锂电池充电进行自动管理, 具有封装外形小, 外围元器件少和使用简单等优点 CN3704 具有恒流和恒压充电模式, 非常适合锂电池的充电 在恒压充电模式,CN3704 将电池电压调制在 16.8V, 精度为 ±1%; 在恒流充电模式, 充电电流通过一个外部电阻设置 对于深度放电的锂电池,

More information

开关稳压电源设计报告2.doc

开关稳压电源设计报告2.doc 开关稳压电源设计报告 摘要本设计是对 27 年全国大学生电子竞赛的 E 题 电路的设计是利用并联型开关稳压电源的拓扑结构, 通过分析以 CT494 芯片为核心的 PWM 控制器的工作原理, 实现了 C C 变换 得出适合于设计要求的主电路的结构, 并在此基础上设计出控制电路 保护电路 驱动电路 运用调节占空比的大小自动控制输出电压, 并对各部分电路的原理进行分析 设计出电路的闭环控制系统, 使电源工作在一个稳定的系统,

More information

MD71XX 系列高耐压低压差型

MD71XX 系列高耐压低压差型 高速低压差 (LDO) CMOS 电压稳压电路 ( 带控制端 ) MD73RXX 系列 300mA 特性 : 输出电压精度高 精度 ±2% 输出电流 300mA MD73RXX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流的正电压型电压稳压 电路 由于内置有低通态电阻晶体管, 因而输入输出 压差低 同时具有高输入电压承受能力, 最高工作电 压可达 1V, 适合需要较高耐压的应用电路

More information

Microsoft Word - AN-978 _part1_.doc

Microsoft Word - AN-978 _part1_.doc AN978 MOS ( ) MOS MGD MOS MGD MGD BUCK SD P MOSFET 1. MOSFET IGBT 1 1 1 10~15V 2 3 1 MOS MGDs MOSFET IGBT 2 IR2110 1 ( MOSFET ) 2 , MOSFET "",,., 3 2 HEX-2 25ns 17ns HEXFET (V CC =15V, 9) HEX-3 HEX-4 HEX-5

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 1.8 至 0MHz 频率范围内的各类窄 宽带功放 为适应高 VSWR 应用的增强型鲁棒性设计 最高工作 VDD 可达 50V 适用于 28V 至 50V 范围内供电电压, 方便不同功率等级功放设计 优异的功率线性度 优异的热稳定性 内部集成的增强 ESD 设计 符合 RoHS 规范 HTH7G06P500H 封装 :H2110S-4L

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

目录内容 Contents Of The Directory 1 公司简介 2 公司产品概况 3 主要代理的产品线 4 AC-DC 产品选型及方案 5 公司愿景

目录内容 Contents Of The Directory 1 公司简介 2 公司产品概况 3 主要代理的产品线 4 AC-DC 产品选型及方案 5 公司愿景 苏州吉远电子科技有限公司 Suzhou Jiyuan Electronic & Technology Co., Ltd 产品介绍 Products Presentation 目录内容 Contents Of The Directory 1 公司简介 2 公司产品概况 3 主要代理的产品线 4 AC-DC 产品选型及方案 5 公司愿景 公司简介 Company Profile 成立于 2005 年 Found

More information

V3406 SPEC(English)

V3406 SPEC(English) 一 概要 : HT0 是一款集成了充电管理模块 电量检测及 指示模块 升压放电管理模块, 完全取代目前市场上的充电管理 IC+MCU+ 升压 IC 方案 二 脚位图及说明 图 HT0 脚位图 序号 名称 描述 0mA 驱动 ( 手电筒功能 ) EXT_CH 充电外扩控制脚 电池电量指示 电池电量指示 电池电量指示 电池电量指示 功能按键 TS 测试模式功能脚 A 信号地 0 外部待机 MOS 使能信号

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

典型应用电路 : 输入电源 M1 D1 L RCS C1 C5 100nF D2 C6 BAT VG DRV VCC R1 R5 CSP BAT D3 D4 HM4067 NC 12 R7 C7 R2 NTC R FB 10 CHRG COM2 9 DO

典型应用电路 : 输入电源 M1 D1 L RCS C1 C5 100nF D2 C6 BAT VG DRV VCC R1 R5 CSP BAT D3 D4 HM4067 NC 12 R7 C7 R2 NTC R FB 10 CHRG COM2 9 DO 5A 铅酸电池充电管理集成电路 HM4067 概述 : HM4067 是 PWM 降压模式铅酸电池充电管理集成电路, 独立对铅酸电池充电进行自动管理, 具有封装外形小, 外围元器件少和使用简单等优点 HM4067 具有涓流, 恒流, 过充电和浮充电模式, 非常适合铅酸电池的充电 在过充电和浮充电模式, 充电电压由外部电阻分压网络设置 ; 在恒流充电模式, 充电电流通过一个外部电阻设置 对于深度放电的电池,

More information

Infineon Total Solution in LCD-TV Power Supply.ppt

Infineon Total Solution in LCD-TV Power Supply.ppt / tim.hu@infineon.com / / demoboard Page 2 / / demoboard Page 3 Page 4 24V 12V/18V/30V 5V 400V 5V/12V/18V/30V 5V

More information

Microsoft Word - HV9910 chinese.doc

Microsoft Word - HV9910 chinese.doc 0755-86091963 分类信息 封装选项型号 SOIC-16 DIP-8 SOIC-8 -G 表示此封装符合 RoHS 要求 ( 绿色 ) 最大的允许额定值 参数 数值 到 GND -0.5V to +470V CS -0.3V to ( + 0.3V) LD, PWM_D 到 GND -0.3V to ( - 0.3V) GATE 本到 GND -0.3V to ( + 0.3V) MAX

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

规格书编写规范

规格书编写规范 概述 SP3413 是一款输入耐压可达 42V,7~32V 输入电压条件正常工作, 并且能够实现精确恒压以及恒流的同步降压型 DC-DC 控制器内置功率 MOS, 可支持 3.1A 持续输出 输出 2A 时系统转换效率可达 95%, 并可完整支持 100% 占空比 SP3413 具备高性能的负载响应以及输入电压响应能力, 同时精确的恒压以及恒流控制环路实现极小的负载调整率和线性调整率,±5% 的恒流精度优于市面上绝大多数同类产品

More information

福建省台湾文献信息中心信息专报

福建省台湾文献信息中心信息专报 福 建 省 台 湾 文 献 信 息 中 心 信 息 专 报 科 技 动 态 第 9 期 ( 总 第 039 期 ) 福 建 省 科 学 技 术 信 息 研 究 所 福 建 省 台 湾 文 献 信 息 中 心 科 学 技 术 馆 2013 年 9 月 18 日 本 期 要 目 老 建 筑 走 出 节 能 路 编 者 按 : 从 发 展 绿 色 生 态 环 境 与 能 源 科 技 出 发, 台 湾 工

More information

概述

概述 DATASHEET 高精度恒压 / 恒流控制器 高精度恒压 / 恒流控制器 概述 是一款高精度恒流 / 恒压反馈控制芯片, 配合 AC-DC 副边反馈芯片, 应用于适配器和 驱动方案中 芯片内部集成了 431 及外围分压电阻和补偿电阻, 并且集成了恒流控制功能, 因此外围器件少 系统成本低 可靠性高 稳定性高, 生产简单免调试 特性 最低成本方案, 外围仅需一个电阻两个电容 集成 CV/CC 控制

More information

Microsoft Word - BCT530x中文版 - 最终版本

Microsoft Word - BCT530x中文版 - 最终版本 BCT530X 陶瓷加热控制器 产品描述 : BCT530X 是为陶瓷发热体专门设计的温度控制芯片 它可以设定温度, 直接驱动 SCR, 在不需要外加温度传感器情况下, 利用陶瓷发热体本身特性, 检测和控制加热器的温度 系统可以设置 ON 和 OFF 按键, 具有调整快速或慢速的升温速度的功能, 并由发光二极管来指示不同的工作状态, 以满足客户的需求 部分型号芯片内置关机定时器, 当 AC 频率为

More information

CO

CO 1 1... 2 1.1... 2 1.2... 3 1.3... 5 1.4 CO2... 9 1.5... 12 2... 13 2.1... 13 2.2... 14 2.3... 16 2.4... 18 2.5... 19 3... 21 3.1... 21 3.2... 23 3.3... 24 3.4... 28 3.5... 30 4... 31 4.1... 31 4.2... 31

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

Microsoft Word - 第三章第一節第二節.doc

Microsoft Word - 第三章第一節第二節.doc 原 臺 中 刑 務 所 典 獄 長 官 舍 第 三 章 臺 中 刑 務 所 典 獄 官 建 築 研 究 與 調 查 第 一 節 建 築 特 色 及 考 證 一 日 治 時 期 臺 灣 官 舍 建 築 特 色 分 析 - 以 臺 中 市 西 區 為 例 96 ( 一 ) 臺 灣 總 督 府 官 舍 制 度 日 治 初 期 臺 灣 總 督 府 為 從 日 本 內 地 招 募 各 種 官 吏 來 到 臺

More information

untitled

untitled TT...1 TT...6 TT...13 TT...21 TT...22 TT...23 TT...25 TT...25 TT...32 TT...33 TT...33 TT...34 TT...38 T...40T TT...44 TT...46 TT...47 TT...49 TT...51 TT...53 TT...53 TT...54 TT...54 TT...54 TT...55 ,,,,,,,,

More information

P56

P56 LED柔性软灯条 (P62-).62. 8mm Single LED Flexi Strip 8mm单色LED柔性软灯条 SMD 3528 12V LED/meter - Available in 12V DC maximum - pcs LEDs per meter, 7.5Mt per reel - Cuttable every mm at the scissors symbol - Maximum

More information

特 点

特 点 特点 No-CAP TM 专利的控制拓扑 启动时间低至 0.1ms LED 电流精度保持在 ±5% 以内 内部集成 500V 功率管 无需变压器辅助绕组检测和供电 无需 VCC 供电电容 内置智能温控功能 简化系统的降压型 LED 驱动 概述 WS9420 是高精度 LED 驱动芯片, 芯片采用专利的控制技术, 实现了一种简单的临界电流模式控制方法, 无需环路补偿 WS9420 是单级降压型 LED

More information

Microsoft Word - DW01.doc

Microsoft Word - DW01.doc 描述 / Descriptions SOT23-6 塑封封装锂电池保护 IC Battery Protection IC in a SOT23-6 Plastic Package. 特征 / Features (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 4.3V Overcharge detection voltage 过充恢复电压

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

<4D F736F F D20424D313044A3A8B5A5BDDAEFAEB5E7B3D8B1A3BBA44943A3A9>

<4D F736F F D20424D313044A3A8B5A5BDDAEFAEB5E7B3D8B1A3BBA44943A3A9> 概述 BM10D 产品是单节锂离子 / 锂聚合物可充电电池组保护的高集成度解决方案 BM10D 包括了先进的功率 MOSFET, 高精度的电压检测电路和延时电路 BM10D 具有非常小的 DFN-5L 的封装, 这使得该器件非常适合应用于空间限制得非常小的可充电电池组应用 BM10D 具有过充, 过放, 过流, 短路等所有的电池所需保护功能, 并且工作时功耗非常低 该芯片不仅仅是为手机而设计, 也适用于一切需要锂离子或锂聚合物可充电电池长时间供电的各种信息产品的应用场合

More information

SD42522AZ

SD42522AZ 6~36V 输入, 1A 大功率 LED 驱动芯片 描述 SD42522 是降压型 PWM 控制 功率开关内置的 LED 驱动芯片 在 6~36V 输入电压范围内, 输出电流能达到 1A, 内置温度保护电路, 限流电路 SD42522 采用电流模式控制, 环路结构简单稳定, 具有快速的瞬态响应, 恒流特性好 芯片效率高, 可达 96% 以上, 在输入 / 输出电压变化时, 输出电流变化控制在 ± 1%

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

方框图 N 沟道开漏输出 ( 常开, 低有效 ) 8,, /, 输出表格和曲线图 >VDET(+) VDET( ) VOUT Hi-Z VSS, =ID E A 8 1 BH 01 5 E A 8 1 BH 0 1 8, -6 8, -6 # ;5 引

方框图 N 沟道开漏输出 ( 常开, 低有效 ) 8,, /, 输出表格和曲线图 >VDET(+) VDET( ) VOUT Hi-Z VSS, =ID E A 8 1 BH 01 5 E A 8 1 BH 0 1 8, -6 8, -6 # ;5 引 TinyPower TM 电压检测器 特性 低功耗 低温度系数 高输入电压范围 ( 高达 30V) 静态电流 :1µA 输出电压精度 :±2% 内建迟滞电路 封装类型 :TO92, SOT89 和 SOT23-5 概述 HT70xxA-3 系列是一组采用 CMOS 技术实现的三端低功耗电压检测器 该系列中的电压检测器能检测固定的电压, 范围从 2.2V 到 8.2V 电压检测器系列由高精度低功耗的标准电压源

More information

第2章 放大器基础

第2章    放大器基础 第 7 章直流稳压电源 在大多数电子设备中, 几乎都需要直流稳压电源 一般较小功率的直流电源均是用市电经整流 滤波和稳压后获得 整流, 即是将交流电压变为脉动直流电压 ; 滤波, 即是除去脉动直流电压中的交流成分, 使之成为平滑的直流电压 ; 稳压, 即是减小电源电压波动 负载变化和温度变化的影响, 以维持输出电压的稳定 由于集成稳压电路具有体积小 重量轻 工作可靠等优点, 因而, 应用越来越广泛

More information

3. 构造和动作原理 3.1 概要 主要元件有 开关机构 自动脱扣装置 带手动脱扣 按钮 触点 消弧装置 接线端子及塑壳 消弧装置 三菱的MCCB以栅极空隙 形状与 材料的最佳组合获得超群的消弧性 能 塑壳 上盖 磁束 塑壳 底座 栅极 电弧 磁力 消弧 触点 脱扣按钮 按下脱扣 可进行外部机械式脱扣 用于确认 附件开关和手动复位功能的动作 开关机构 触点快速开关 开关速度与操纵柄 的移动速度无关

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information