KE1xF Microcontroller

Size: px
Start display at page:

Download "KE1xF Microcontroller"

Transcription

1 KE1xFP100M168SF0 数据手册 : 技术数据 Rev 2, 09/2016 KE1xF Microcontroller 168 MHz ARM Cortex -M4, 具有高达 512 KB Flash KE1xF 微控制器基于 ARM Cortex -M4 处理器构建, 具有更强大的性能和更高的存储密度, 提供多种封装 该设备可通过集成的单精度浮点单元 (FPU) 提供高达 168 MHz 性能和数字信号处理器 (DSP) 内置的 flash 存储器大小为 256 KB 至 512 KB MKE1xF512VLL16 MKE1xF512VLH16 MKE1xF256VLL16 MKE1xF256VLH LQFP (LL) 14x14x1.4 mm Pitch 0.5 mm 64 LQFP (LH) 10x10x1.4 mm Pitch 0.5 mm 内核处理器和系统 ARM Cortex -M4 内核, 支持高达 168 MHz 频率,1.25 Dhrystone MIPS/MHz ARM 内核基于 ARMv7 架构和 Thumb -2 ISA 集成式数字信号处理器 (DSP) 可配置的嵌套向量中断控制器 (NVIC) 单精度浮点单元 (FPU) 16 通道 DMA 控制器可通过 DMAMUX 扩展至 64 通道 可靠性和安全性 带纠错码 (ECC) 的 Flash 和 SRAM 存储器 系统存储保护单元 (MPU) 模块 Flash 访问控制 (FAC) 循环冗余校验 (CRC) 生成器 128 位唯一标识 (ID) 号 采用独立时钟源的内部看门狗 (WDOG) 外部看门狗监控器 (EWM) 模块 ADC 自校准特性 片上时钟丢失监控器 人机接口 (HMI) 支持高达 92 个中断需求 (IRQ) 来源 最多 89 个 GPIO 引脚, 带中断功能 8 个高驱动引脚 数字滤波器 时钟接口 3-40 MHz 快速外部振荡器 (OSC) 32 khz 慢速外部振荡器 (OSC32) 存储器和存储器接口 最高 512 KB 的程序 Flash, 带 ECC 最高 64 KB SRAM, 带 ECC 64 KB FlexNVM, 带有用于数据 Flash 的 ECC, 具有 EEPROM 模拟功能 4 KB FlexRAM, 用于 EEPROM 模拟 8 KB I/D 缓存用于最小化对存储访问延迟对性能的影响 带内置引导加载程序的引导 ROM 模拟混合信号 3 个 12 位模数转换器 (ADC), 每个模块具有最多 16 通道模拟输入, 最高 1 Msps 3 个高速模拟比较器 (CMP), 具有内部 8 位数模转换器 (DAC) 1 个 12 位数模转换器 (DAC) 时序与控制 4 个 FlexTimer(FTM), 用于 PWM 生成, 提供多达 32 个标准通道 1 个低功耗定时器 (LPTMR), 可以在 Stop( 停止 ) 模式下工作, 具有灵活的唤醒控制 3 个可编程延迟区块 (PDB), 具有灵活的触发系统, 为模块间的同步提供精确的延迟信息并触发再生 1 个低功耗周期性中断定时器 (LPIT), 具有 4 个独立通道, 为常规使用服务 脉冲宽度定时器 (PWT) 实时时钟 (RTC) NXP reserves the right to change the production detail specifications as may be required to permit improvements in the design of its products.

2 48-60 MHz 高精度 ( 高达 1%) 快速内部参考时钟 (FIRC), 用于高速运行 8 MHz / 2 MHz 高精度 ( 高达 3%) 慢速内部参考时钟 (SIRC), 可以低速运行 128 khz 低功耗振荡器 (LPO) 锁相环 (PLL) 高达 60 MHz 直流外部方波输入时钟 系统时钟生成器 (SCG) 实时计数器 (RTC) 电源管理 低功耗 ARM Cortex -M4 内核, 具有出色的能效 电源管理控制器 (PMC) 具有多种功耗模式 :Run( 运行 ) Wait( 等待 ) Stop( 停止 ) VLPR VLPW 和 VLPS 支持未使用模块的时钟门控, 以及特定外设在低功耗模式下保持工作状态 POR 和 LVD/LVR 工作特性 电压范围 :2.7 至 5.5 V 环境温度范围 : 40 至 105 C 连接和通信接口 TriggerMUX: 用于模块间的连接 3 个低功耗通用异步接收器 / 发送器 (LPUART) 模块, 支持 DMA, 可以在 Stop( 停止 ) 模式下工作 2 个低功耗串行通信接口 (LPSPI) 模块, 支持在 DMA 和低功耗模式下工作 2 个低功耗集成电路互连 (LPI2C) 模块, 支持 DMA, 可以在 Stop( 停止 ) 模式下工作 多达 2 FlexCAN 模块, 带有灵活的消息缓存和邮箱功能 FlexIO 模块用于灵活且高性能的串行接口模拟 调试功能 串线 JTAG 调试端口 (SWJ-DP) 结合 调试观察点和跟踪 (DWT) 仪器追踪宏单元 (ITM) 测试端口接口单元 (TPIU) Flash 补丁和断点 (FPB) 选型指南 相关资源 类型说明资源 此 Solution Advisor 是一款基于网络的工具, 具有交互式应用向导和动态产品选型器 解决方案顾问 产品简介 产品简介 包含简洁的概述 / 摘要信息, 便于快速评估器件的设计适用性 KE1xF512PB 1 参考手册 参考手册 包含关于器件结构与功能 ( 操作 ) 的详细说明 KE1xFP100M168SF0RM 1 数据手册 数据手册 包含电气特性和信号连接信息 本手册 : KE1xFP100M168SF0 芯片勘误表 芯片掩模组勘误表 提供特定器件掩模组的额外信息或更正信息 Kinetis_E_0N79P 1 封装图纸封装图纸中提供了封装尺寸 100-LQFP: 98ASS23308W 64-LQFP: 98ASS23234W 1. 如需获取相关资源, 请前往 并使用以下术语进行搜索 2 KE1xF Microcontroller, Rev 2, 09/2016

3 Kinetis KE1xF 子系列 ARM Cortex -M4 内核 系统 MPU edma 存储器和存储器接口 程序 Flash RAM 时钟模块 OSC FIRC 调试接口 DSP DMAMUX FlexMemory 引导 ROM SIRC 中断控制器 FPU TRGMUX WDOG PLL OSC32 EWM LPO 安全性和集成性 CRC 模拟定时器通信接口 12 位 ADC x3 FlexTimer 8ch x4 LPI 2 C x2 人机接口 (HMI) GPIO 多达 89 ECC CMP x3 PDB x3 LPUART x3 高驱动 I/O (8 引脚 ) FAC 12 位 DAC x1 LPIT,4ch LPTMR LPSPI x2 数字滤波器 ( 所有端口 ) PMC SRTC 多达 2 个 FlexCAN PWT FlexIO 图 1. 功能结构框图 KE1xF Microcontroller, Rev 2, 09/2016 3

4 目录 1 订购信息 概述 系统特性 ARM Cortex-M4 内核 NVIC AWIC 存储器 复位和引导 时钟选项 安全加密 电源管理 调试控制器 外设特性 edma 和 DMAMUX FTM ADC DAC CMP RTC LPIT PDB LPTMR CRC LPUART LPSPI FlexCAN LPI2C FlexIO 端口控制和 GPIO 存储器映射 引脚配置 KE1xF 信号多路复用和引脚分配 端口控制和中断汇总 模块信号说明表 引脚分配图 封装尺寸 电气特性 术语和准则 定义 示例 典型值条件 极限与工作要求的关系 极限和工作要求准则 极限参数 热处理极限 湿度处理极限 ESD 处理极限 电压和电流工作极限 综述 静态电气规格 开关规格 热学规格 外设工作要求与特性 系统模块 时钟接口模块 存储器和存储器接口 安全性和完整性模块 模拟 通信接口 调试模块 设计考虑因素 硬件设计考虑因素 印刷电路板注意事项 功率输出系统 模拟设计 数字设计 晶体振荡器 软件考虑因素 器件标识 说明 格式 字段 示例 修订历史记录 KE1xF Microcontroller, Rev 2, 09/2016

5 订购信息 1 订购信息 以下芯片可供订购 表 1. 订购信息 产品存储器封装 IO 和 ADC 通道通信 器件型号 MKE18F512VLL 16 MKE18F512VL H16 MKE18F256VLL 16 MKE18F256VL H16 MKE16F512VLL 16 MKE16F512VL H16 MKE16F256VLL 16 MKE16F256VL H16 MKE14F512VLL 16 MKE14F512VL H16 MKE14F256VLL 16 MKE14F256VL H16 标记 (Line1/Line2) MKE18F512 / VLL16 MKE18F512 / VLH16 MKE18F256 / VLL16 MKE18F256 / VLH16 MKE16F512 / VLL16 MKE16F512 / VLH16 MKE16F256 / VLL16 MKE16F256 / VLH16 MKE14F512 / VLL16 MKE14F512 / VLH16 MKE14F256 / VLL16 MKE14F256 / VLH16 Flash (KB) SRAM (KB) FlexNVM/ FlexRAM (KB) 引脚数 封装 GPIO GPIO (INT/H D) 1 ADC 通道 /4 100 LQFP 89 89/ /4 64 LQFP 58 58/ /4 100 LQFP 89 89/ /4 64 LQFP 58 58/ /4 100 LQFP 89 89/ /4 64 LQFP 58 58/ /4 100 LQFP 89 89/ /4 64 LQFP 58 58/ /4 100 LQFP 89 89/ /4 64 LQFP 58 58/ /4 100 LQFP 89 89/ /4 64 LQFP 58 58/ FlexC AN 1. INT: 中断引脚编号 ;HD: 高电平驱动引脚编号 2 概述 下图显示此器件的系统示意图 KE1xF Microcontroller, Rev 2, 09/2016 5

6 概述 Cortex M4 主机 从机 IOPORT 调试 (SWD/JTAG) NVIC edma CM4 内核 8 KB 缓存 代码总线 系统总线 M0 M1 M2 交叉开关 ( 平台时钟 - 最大 168 MHz) FMC S0 S1 Flash 最大为 512 KB 16 KB ROM 高达 64 KB SRAM 外设桥 0( 总线时钟 - 最大 84 MHz) 各种外设模块 S2 系统时钟生成器 (SCG) 快速 IRC SOSC 慢速 IRC PLL OSC32 LPO 时钟源 图 2. 系统示意图 交叉开关通过交叉开关结构连接总线主机和从机 该结构支持最多 4 个总线主机都能同时访问不同的总线从机, 并且还可在其访问相同从机时提供总线主机间的仲裁 2.1 系统特性 以下章节介绍高级系统特性 6 KE1xF Microcontroller, Rev 2, 09/2016

7 概述 ARM Cortex-M4 内核 ARM Cortex-M4 是 Cortex M 系列微处理器中的一款微处理器内核, 重点面向成本敏感 具有确定性且由中断驱动的环境 Cortex M4 处理器基于 ARMv7 架构和 Thumb -2 ISA, 并且向上兼容 Cortex M3 Cortex M1 和 Cortex M0 架构 Cortex M4 的改进包括一个 ARMv7 Thumb-2 DSP, 该 DSP 采用 ARMv7-A/R 配置架构, 提供 32 位指令, 具有 SIMD( 单指令多数据 )DSP 类型的乘法 / 加法和饱和算法 NVIC 嵌套向量中断控制器 (NVIC) 支持嵌套中断和 16 个中断优先级 在 NVIC 中,IPR 寄存器中的各个源都包含 4 位 而且中断源数量也不相同, 并支持 240 个中断向量 Cortex-M 系列使用多种方法将 它还可用于将 MCU 内核从 WAIT 和 VLPW 模式唤醒 AWIC 异步唤醒中断控制器 (AWIC) 用于检测 STOP 模式下的异步唤醒事件, 并向时钟控制逻辑发送信号以恢复系统时钟 时钟重启后,NVIC 观察未决中断, 并执行普通中断或事件处理 AWIC 还可用于将 MCU 内核从 Partial Stop Stop 和 VLPS 模式唤醒 该 SoC 的唤醒源如下所列 : 表 2. AWIC STOP 模式和 VLPS 模式唤醒源 唤醒源可用系统复位引脚中断 ADCx CMPx LPI2C LPUART LPSPI LPIT FlexIO 说明 RESET 引脚 WDOG JTAG 时钟丢失(LOC) 复位和锁定丢失 (LOL) 复位端口控制模块 任何已使能的引脚中断都能唤醒系统 ADCx 为可选配置, 采用来自 SIRC 或 OSC 的时钟源在 STOP/VLPS 模式下工作, 采用来自 SIRC 或 OSC 的时钟源在 STOP/VLPS 模式下工作, 采用来自 SIRC 或 OSC 的时钟源在 STOP/VLPS 模式下工作, 采用来自 SIRC 或 OSC 的时钟源在 STOP/VLPS 模式下工作, 采用来自 SIRC 或 OSC 的时钟源在 STOP/VLPS 模式下工作, 采用来自 SIRC 或 OSC 的时钟源在 STOP/VLPS 模式下工作, 采用来自 SIRC 或 OSC 的时钟源 下一页继续介绍此表... KE1xF Microcontroller, Rev 2, 09/2016 7

8 概述 表 2. AWIC STOP 模式和 VLPS 模式唤醒源 ( 继续 ) 唤醒源 LPTMR RTC SCG CAN NMI 在 STOP/VLPS 模式下工作在 STOP/VLPS 模式下工作在 STOP 模式下工作 ( 仅 SIRC) CAN 停止唤醒不可屏蔽中断 说明 存储器该器件具有以下特性 : 高达 512 KB 的嵌入式程序 flash 存储器 可访问最多 64 KB 嵌入式 RAM( 读 / 写 ),CPU 时钟速度, 无等待状态 非易失性存储器分为几个阵列 : 64 KB 的嵌入式数据 flash 存储器 4 KB 的模拟 EEPROM 16 KB ROM( 内置引导加载程序, 支持 UART I2C 和 SPI 接口 ) 该程序 flash 存储器包含一个 16 字节 flash 配置字段, 用于存储默认保护设置和安全信息 程序 flash 的页面大小为 4 KB 保护设置可保护 32 个程序 flash 存储器区域不被意外擦除或进行编程操作 安全电路可防止对 RAM 或调试端口的 flash 内容进行非法访问 复位和引导 下表列出了该器件支持的所有复位源 注在下表中,Y 表示该特定模块 ( 脚注中提到的寄存器 位或特定情形除外 ) 是通过对应的 Reset 源复位 N 表示该特定模块不是通过对应的 Reset 源复位 8 KE1xF Microcontroller, Rev 2, 09/2016

9 概述 表 3. 复位源 复位源说明模块 PMC SIM SMC RCM 复位引脚 拉低 WDO G SCG RTC LPTM R POR 复位上电复位 (POR) Y Y Y Y Y Y Y Y Y Y 系统复位低电压检测 (LVD) Y 1 Y Y Y Y Y Y N Y Y 外部引脚复位 (RESET) Y 1 Y 2 Y 3 Y 4 Y Y 5 Y 6 N N Y 看门狗 (WDOG) 复位 Y 1 Y 2 Y 3 Y 4 Y Y 5 Y 6 N N Y 多用途时钟发生器时钟丢失 (LOC) 复位 多用途时钟发生器锁定丢失 (LOL) 复位 STOP 模式应答错误 (SACKERR) Y 1 Y 2 Y 3 Y 4 Y Y 5 Y 6 N N Y Y 1 Y 2 Y 3 Y 4 Y Y 5 Y 6 N N Y Y 1 Y 2 Y 3 Y 4 Y Y 5 Y 6 N N Y 软件复位 (SW) Y 1 Y 2 Y 3 Y 4 Y Y 5 Y 6 N N Y 死锁复位 (LOCKUP) Y 1 Y 2 Y 3 Y 4 Y Y 5 Y 6 N N Y MDM DAP 系统复位 Y 1 Y 2 Y 3 Y 4 Y Y 5 Y 6 N N Y 调试复位调试复位 Y 1 Y 2 Y 3 Y 4 Y Y 5 Y 6 N N Y 其他 1. 除了 PMC_LVDSC1[LVDV] 和 PMC_LVDSC2[LVWV] 外 2. 除了 SIM_SOPT1 之外 3. 除了 SMC_PMPROT SMC_PMCTRL_RUM SMC_PMCTRL_STOPM SMC_STOPCTRL SMC_PMSTAT 之外 4. 除了 RCM_RPC RCM_MR RCM_FM RCM_SRIE RCM_SRS RCM_SSRS 之外 5. 除了 WDOG_CS[TST] 之外 6. 除了 SCG_CSR 和 SCG_FIRCSTAT 之外 此器件支持从以下位置引导 : 内部 Flash 引导 ROM POR 或复位 否 RCM[FORCEROM] =00 是 FOPT[BOOTPIN_OPT]=0 否 是 BOOTCFG0 引脚 =0 是 否 FOPT[BOOTSRC _SEL]=10/11 是 否 通过 ROM 引导 通过 Flash 引导 图 3. 引导流程图 KE1xF Microcontroller, Rev 2, 09/2016 9

10 概述 空白芯片默认从 ROM 引导并将向量表重新映射至 ROM 基地址, 否则, 它将重新映射至 Flash 地址 时钟选项 SCG 模块控制使用哪个时钟源来获得系统时钟 时钟生成逻辑将选定的时钟源分为不同的时钟域, 包括用于系统总线主机 系统总线从机和 Flash 存储器的时钟 时钟生成逻辑还可实现特定模块的时钟门控, 从而允许细粒度地打开和关闭模块 下图为时钟产生的框图 有关时钟操作和配置的更多详细信息, 请参见参考手册中的 时钟 章节 PWT TCLK0 TCLK SIM_FTMOPT0[FTMxCLKSEL] TCLK SIM_CHIPCTL[PWT_CLKSEL] 48~60MHz 快速 IRC 8MHz/2MHz 慢速 IRC SCG_SPLLCFG[SOURCE] SCG 1 PREDIV PLL 0 (SCG_SPLLCFG) 默认启动 DIVCORE Core RAM GPIOC CORE_CLK/SYS_CLK ( 未门控 ) PCC Flash FTMx DMAMUX edma PDB EXTAL XTAL SCG_SOSCCFG[EREFS] 0 高范围 OSC 1 OSC Other SCG_xCCR[SCS] (x=r, V, H) PLL_CLK PLLDIV1 PLLDIV2 SIRC_CLK SIRCDIV1 SIRCDIV2 FIRC_CLK FIRCDIV1 FIRCDIV2 SOSC_CLK SOSCDIV1 SOSCDIV2 SCG_CLKOUTCNFG Other [CLKOUTSEL] DIVSLOW DIVBUS PLLDIV1_CLK PLLDIV2_CLK SIRCDIV1_CLK SIRCDIV2_CLK FIRCDIV1_CLK FIRCDIV2_CLK SOSCDIV1_CLK SOSCDIV2_CLK SCG_SOSCCSR [SOSCERCLKEN] BUSOUT PCC_xxx[CGC] PCC_xxx[PCS] FLASH_CLK SYS_CLK ( 门控 ) BUS_CLK/FLASH_CLK 异步时钟 外设寄存器 CRC 8-bit DAC ACMPx 12-bit DAC ADCx FlexIO LPIT LPI2Cx LPUARTx LPSPIx EXTAL32 OSC32_CR[ROSCEREFS] 0 低范围 OSC 1 SCG CLKOUT CLKOUTDIV CLKOUT WDOG XTAL32 OSC32 SIM_CHIPCTL[CLKOUTSEL] LPO_CLK LPTMR RTC_CLKIN LPO128K PMC kHz 32kHz RTC 1 0 RTC_CR[LPOS] RTC_CLKOUT OSC32_CLK EWM PORT Control SIM_CHIPCTL[RTC_CLKSEL] FlexCANx 图 4. 时钟框图 安全加密 安全加密状态可以通过编程 Flash 配置字段 (0x40e) 使能 启用器件加密之后, SWD/JTAG 端口无法访问 MCU 的存储器资源 10 KE1xF Microcontroller, Rev 2, 09/2016

11 概述 SWD/JTAG 端口 外部接口安全加密解密 无法通过 SWD/JTAG 接口访问存储器资源 调试器可以在 MDM-AP 控制寄存器写入 正在执行 Flash 整体擦除 字段以触发整体擦除 ( 擦除所有数据块 ) 命令 Flash 访问控制 (FAC) FAC 是一个可由本地或第三方配置的存储器优化保护方案, 允许用户在为这些库提供可编程限制时使用软件库 Flash 存储器分为大小相等的区段, 可为专有软件库提供保护 这些区段的保护受到控制, 因为 FAC 对每一件访问片上 Flash 存储器的事件进行循环的访问权限评估 可配置性允许保护更多的区段, 同时支持两级供应商为器件添加专有软件 纠错码 (ECC) Flash 和 SRAM 存储器支持 ECC 检测 支持自动纠错 1 位码和报告多位码错误 电源管理 电源管理控制器 (PMC) 扩展了 ARM 的运行 睡眠和深度睡眠工作模式, 可提供多种可配置模式 这些模式可用于优化多种应用的电流消耗 WFI 或 WFE 指令用于根据当前配置调用 WAIT 或 STOP 模式 有关 ARM 工作模式的更多信息, 请参见 ARM Cortex 用户指南 在 ARM 的运行工作模式下,PMC 可提供高速运行 (HSRUN) 常规运行 (RUN) 和超低功耗运行 (VLPR) 配置 在这些模式下,MCU 内核处于活动状态并且可访问所有外设 这些模式之间的不同之处在于系统的最大时钟频率以及功耗 可以根据应用的功耗和性能要求选择配置 在 ARM 的睡眠工作模式下,PMC 可提供等待 (Wait) 和超低功耗等待 (VLPW) 配置 在这些模式下, 尽管 MCU 内核处于非活动状态, 但所有外设均可使能并按程序运行 这些模式之间的不同之处在于系统的最大时钟频率以及功耗 在 ARM 的深度睡眠工作模式下,PMC 可提供停止 (Stop) 超低功耗停止 (VLPS) 配置 在这些模式下,MCU 内核以及大多数外设禁用 根据应用要求, 可以保留或禁用不同的模拟 逻辑和存储器部分, 以节省电量 嵌套向量中断控制器 (NVIC) 异步唤醒中断控制器 (AWIC) 用于将 MCU 从低功耗状态唤醒 NVIC 可用于将 MCU 内核从 WAIT 和 VLPW 模式唤醒 AWIC 可用于将 MCU 内核从 STOP 和 VLPS 模式唤醒 KE1xF Microcontroller, Rev 2, 09/

12 概述 有关工作模式 电源管理 NVIC AWIC 的其他信息, 请参见参考手册 下表提供了不同工作模式下的外设状态信息以及可将 MCU 从低功耗模式唤醒的模块相关信息 表 5. 不同工作模式下的外设状态 内核模式器件模式说明 RUN 模式 HIGH SPEED RUN 在 HSRun 模式下,MCU 可以工作在较快的频率下, 并且所有器件模块均运行 运行 (Run) 超低功耗运行 (Very Low Power Run) 在 RUN 模式下, 所有器件模块均运行 在 VLPR 模式下, 所有器件模块均低频运行 ( 除了禁用的低压检测 (LVD) 监视器 ) SLEEP 模式等待 (Wait) 在 WAIT 模式下, 所有外设模块均运行 MCU 内核处于 SLEEP 模式 DEEP SLEEP 模式 超低功耗等待 (Very Low Power Wait) 停止 (Stop) 超低功耗等待 (Very Low Power Wait) 在 VLPW 模式下, 所有外设模块均低频运行 ( 除了禁用的低压检测 (LVD) 监视器 ) MCU 内核处于 SLEEP 模式 在 STOP 模式下, 大部分外设时钟禁用且处于静止状态 当保留低电压检测保护时,STOP 模式保留所有寄存器和 SRAM 在 STOP 模式下,ADC DAC CMP LPTMR RTC 和引脚中断运行 NVIC 禁用, 但 AWIC 可用于从中断唤醒 在 VLPS 模式下,SRAM 的内容将保留 CMP( 低速 ) ADC OSC RTC LPTMR LPIT FlexIO LPUART LPI2C LPSPI 和 DMA 运行,LVD 和 NVIC 禁用,AWIC 用于从中断唤醒 调试控制器 此器件具有多种调试功能, 包括运行控制和跟踪功能 标准 ARM 调试端口支持 SWD/JTAG 接口 2.2 外设特性 以下章节介绍此芯片每个外设的特性 edma 和 DMAMUX edma 是一个高度可编程的, 经过优化最大程度减少主处理器干预的数据传输引擎 它适合于要传输的数据大小已静态已知且未被定义在传输数据内的应用 此器件中的 DMA 控制器支持 16 个通道, 这些通道可通过 DMA MUX 模块路由至高达 63 个 DMA 请求源 edma 的主要特性如下 : 所有数据通过双地址传输移动 : 通过源读取, 写入目标位置 12 KE1xF Microcontroller, Rev 2, 09/2016

13 概述 16 通道设置, 可以在最小的主机处理器干预情况下实现复杂的数据传输 经过组织的传输控制描述符 (TCD), 支持双深嵌套传输操作 可通过三种方法激活通道 固定优先级和循环通道两种仲裁方式 通过可编程中断请求报告通道完成 可编程支持分散 / 集中 DMA 处理 支持复杂的数据结构 FTM 本器件包含 4 个 FlexTimer 模块 FlexTimer 模块 (FTM) 是一种两通道至八通道的定时器, 支持输入捕捉 输出比较和生成用于控制电机和电源管理的 PWM 信号 FTM 的时间基准是一个 16 位计数器, 可用作无符号或有符号计数器 该模块增强的主要功能如下 : 带符号的向上计数器 支持硬件死区插入 故障控制输入 增强型触发功能 初始化和极性控制 ADC 本器件包含 3 个 12 位 SAR ADC 模块 此 ADC 模块支持通过 FTM LPTMR PIT RTC 外部触发器引脚和 CMP 输出提供的硬件触发 当使用内部时钟源或外部晶体时钟时, 它支持在低功耗模式下唤醒 MCU ADC 模块具有以下特性 : 分辨率高达 12 位的线性逐次逼近算法 最多 16 个单端外部模拟输入 支持 12 位 10 位和 8 位单端输出模式 单次或连续转换 可配置采样时间和转换速度 / 功耗 可从最多 4 个来源中选择输入时钟 低功耗工作模式可降低噪声 可选择的硬件转换触发信号 KE1xF Microcontroller, Rev 2, 09/

14 概述 自动与范围内或范围外的设定值进行比较 ( 小于 大于或等于 ), 根据结果产生中断 温度传感器 硬件平均功能 可选电压基准 : 外部或可供选择的内部电压源 自校准模式 温度传感器 该设备包含一个从内部连接到 AD26 输入通道的温度传感器, 请参见 ADC 电气特征了解线性度因素的详细信息 该传感器必须经过校准以获得良好的精度, 从而提供更好的线性度, 另请参见 AN3031 以了解更多关于温度传感器的详细应用信息 DAC 该 12 位数模转换器 (DAC) 为低功耗通用 DAC DAC 输出可置于外部引脚上或设置为模拟比较器或 ADC 的其中一个输入 DAC 模块具有以下特性 : 片上可编程参考生成器输出 电压输出范围为 Vin 至 V in, 步进为 V in ( 其中 V in 为输入电压 ) V in 可从两个参考电压源选择 在正常停止模式保持静态 在多种工作模式下支持 16 字长的数据缓冲 支持 DMA CMP 此器件上有 3 个模拟比较器 每个 CMP 具有独立的 8 位 DAC 每个 CMP 支持最多 7 个来自外部引脚的模拟输入 每个 CMP 可以转换来自内部的带隙基准参考电压 每个 CMP 支持来自片上 12 位 DAC 输出的内部参考电压 每个 CMP 支持循环采样机制 总而言之, 这允许 CMP 独立地在 VLPS 和停止模式下运行, 同时可通过周期性触发对多达 8 个输入进行采样 只有当某个输入改变状态时才会产生完全唤醒 CMP 具有以下特性 : 14 KE1xF Microcontroller, Rev 2, 09/2016

15 概述 输入范围可以为轨到轨 可编程迟滞控制 可选择在比较器输出上升沿 下降沿或任意沿时产生中断 可选择比较器输出取反 能够产生多种输出, 比如说采样 加窗或者是数字滤波之后的输出 可以在输出滤波器用于内部功能时使用外部迟滞 两个可通过软件选择的性能等级 : 更短的传播延迟但功耗更高, 以及低功耗但传播延迟更长 支持 DMA 传输 在该 MCU 的所有功耗模式下均可运行 窗口和滤波器功能在停止模式下不可用 将 8 位 DAC 与可选电压参考源集成在一起, 并且可通过掉电模式节省电量 RTC RTC 是一个始终上电的模块, 在所有低功耗模式下保持活动的状态 RTC 内的时间计数器由外部晶体振荡器的 khz 时钟源提供时钟, 或直接通过 RTC_CLKIN 引脚提供 RTC 在上电时复位, 并且 RTC 中的软件重置位还可以初始化所有 RTC 寄存器 RTC 模块具有以下特性 : 32 位秒计数器, 带翻转保护和 32 位闹钟 带补偿功能的 16 位预分频器, 可以校正 0.12 ppm 至 3906 ppm 的误差 具有寄存器锁定机制的寄存器写入保护 带可选中断的 1 Hz 方波或秒脉冲输出 LPIT 低功耗周期性中断定时器 (LPIT) 是多通道定时器模块, 可以产生独立的预触发和触发输出 这些定时器通道可以独立运行或联接运行 经配置,LPIT 可以运行于低功耗模式 预触发和触发输出可用于触发器件上的其他模块 该器件包含一个四通道 LPIT 模块 LPIT 生成 DMAMUX 的周期性触发事件 KE1xF Microcontroller, Rev 2, 09/

16 概述 PDB 可编程延迟区块 (PDB) 可为 ADC 的硬件触发输入提供来自内部或外部触发器的可控延迟或可编程间隔节拍, 和 / 或为 DAC 生成间隔触发器, 从而实现 ADC 转换之间的精确计时和 / 或实现 DAC 更新 PDB 可选择性提供脉冲输出, 作为 CMP 模块中的采样窗口 PDB 模块具有以下功能 : 若干触发器输入源和一个软件触发器源 1 个 DAC 刷新触发器输出, 用于该器件 可配置 PDB 通道, 用于 ADC 硬件触发器 1 个脉冲输出, 用于该器件 LPTMR 在所有功耗模式下 ( 包括低漏电模式 ), 低功耗定时器 (LPTMR) 可以配置为带可选预分频器的时钟计数器, 或者带可选去抖滤波器的脉冲计数器 它还可以在多数系统复位事件中继续保持运行, 因此可以用作长时间的计数器 LPTMR 模块具有以下特性 : 带比较功能的 16 位时间计数器或脉冲计数器 可选中断可在任何低功耗模式下产生异步唤醒 硬件触发输出 计数器支持自由运行模式或比较复位 可针对预分频器 / 毛刺滤波器配置时钟源 可针对脉冲计数器配置输入源 CRC 该设备包含一个循环冗余校验 (CRC) 模块, 可生成 16/32 位 CRC 码以便进行错误检测 CRC 模块提供实施 16 位或 32 位 CRC 标准所需的可编程多项式 WAS 和其他参数 CRC 模块具有以下特性 : 硬件 CRC 生成器电路采用一个 16 位或者 32 位可编程移位寄存器 可编程初始种子和多项式 可选择逐位或逐字节转换输入数据或输出数据 (CRC 结果 ) 最终 CRC 结果反转选项 32 位 CPU 寄存器编程接口 16 KE1xF Microcontroller, Rev 2, 09/2016

17 概述 LPUART 此器件包含 3 个低功耗 UART 模块, 可以在 STOP 和 VLPS 模式下工作 此模块还支持 4 至 32 数据过采样率, 以适合不同的应用 LPUART 模块具有以下特性 : 可编程波特率 (13 位模数分频器 ), 支持 4 至 32 的可配置过采样率 发送和接收波特率可与总线时钟异步运行, 并且可配置为不受总线时钟频率影响, 支持在 STOP 模式下工作 中断 DMA 或轮询操作 硬件奇偶生成和校验 可编程 8 位 9 位或 10 位字符长度 可编程的 1 位或 2 位停止位 三种接收器唤醒方法 空闲线路唤醒 地址标志唤醒 接收数据匹配 自动地址匹配, 以减少 ISR 开销 : 地址标志匹配 空闲线路地址匹配 地址匹配开始 地址匹配结束 可选 13 位分隔字符生成 /11 位分隔字符检测 可配置空闲长度检测, 支持 或 128 个空闲字符 可选择发送器输出和接收器输入极性 LPSPI 该器件包含 2 个 LPSPI 模块 LPSPI 为低功耗串行通信接口 (SPI) 模块, 支持作为主机和 / 或从机高效连接至 SPI 总线 只要存在可用的合适时钟,LPSPI 即可在停止模式下持续工作, 设计用于使用 DMA 来访问 FIFO 寄存器, 大幅降低 CPU 开销 LPSPI 模块具有以下特性 : 命令 / 发送 4 字 FIFO 接收 4 字 FIFO 主机请求输入可以用于控制 SPI 总线传输的起始时间 KE1xF Microcontroller, Rev 2, 09/

18 概述 FlexCAN 该器件包含 2 个 FlexCAN 模块 FlexCAN 模块是一个通信控制器, 它根据 ISO 标准和 CAN 2.0 B 协议规范实施 CAN 协议 外部 FlexCAN 模块包含 16 个报文缓冲区 每个报文缓冲区为 16 个字节 FlexCAN 模块具有以下特性 : 数据长度为 0 至 8 个字节的弹性邮箱 每个邮箱可配置为接收或发送, 全部支持标准和扩展报文 每个邮箱具有单独的 Rx 掩码寄存器 功能完备的 Rx FIFO, 存储功能适合于高达 6 帧, 以及带 DMA 支持的自动内部指针句柄 传输终止功能 可编程时钟源 ( 外设时钟或振荡器时钟 ) 接收或传输结构未使用的 RAM 可用作通用 RAM 空间 只听模式功能 可编程回环模式支持自检操作 可编程传输优先级方案 : 最低 ID 最低缓冲区数或最高优先级 基于 16 位自由运行定时器的时间戳 全球网络时间, 通过特定报文同步 可屏蔽中断 不受传输媒介影响 ( 假定为外部收发器 ) 短延迟时间, 得益于适合于高优先级消息的仲裁方案 低功耗模式, 可通过总线活动的可编程唤醒 远程请求帧可自动或由软件处理 仅可在冻结模式下写入 CAN 位时间设置和配置位 Tx 邮箱状态 ( 最低优先级缓冲区或空缓冲区 ) 用于已接收帧的标识符验收滤波器命中指示器 (IDHIT) SYNCH 位可用于状态 1 寄存器中的错误, 用于通知此模块与 CAN 总线同步 已发送报文的 CRC 状态 Rx FIFO 全局掩码寄存器 匹配过程中邮箱和 Rx FIFO 之间的可选优先级 强大的 Rx FIFO ID 滤波, 可以根据 128 扩展 256 标准或 512 部分 (8 位 )ID 匹配输入 ID, 带高达 32 个单独屏蔽功能 18 KE1xF Microcontroller, Rev 2, 09/2016

19 概述 LPI2C 该器件包括两个 LPI2C 模块 LPI2C 为低功耗集成电路互联 (I2C) 模块, 支持作为主机和 / 或从机高效连接至 I2C 总线 只要存在可用的合适时钟,LPI2C 即可在停止模式下持续工作, 设计用于使用 DMA 来访问 FIFO 寄存器, 大幅降低 CPU 开销 LPI2C 逻辑支持标准模式 快速模式 快速模式 + 和超快速工作模式 LPI2C 模块还符合系统管理总线 (SMBus) 规范版本 2 LPI2C 模块具有以下特性 : 支持 Standard Fast Fast+ 和 Ultra Fast modes 在从机模式下支持 HS 模式 多主机支持, 包括同步和仲裁 时钟拉伸 通用调用 7 位和 10 位寻址 软件复位 START 字节和器件 ID 需要软件支持 对于主机模式 : 命令 / 发送 4 字 FIFO 接收 4 字 FIFO 对于从机模式 : 独立的 I2C 从机寄存器, 以最小化主机 / 从机切换所需的软件开销 支持 7 位或 10 位寻址 地址范围 SMBus 提醒和通用调用地址 发送 / 接收数据寄存器, 支持中断或 DMA 请求 FlexIO FlexIO 是一款高度可配置模块, 提供多种协议支持, 包括但不限于 UART I2C SPI I2S Camera IF LCD RGB PWM/ 波形生成 该模块支持可编程波特率, 不受总线时钟频率的影响, 并带有自动启动 / 停止位生成功能 FlexIO 模块具有以下特性 : 当其使用的时钟保持使能时, 可以在 VLPR/VLPW/Stop/VLPS 模式下运行 四个 32 位双缓冲移位寄存器, 具有发送 接收和数据匹配模式并提供持续数据传输 移位器的移位 负载和存储事件时序由分配给该移位器的高灵活度 16 位定时器控制 可以级联两个或多个移位器, 以支持大型数据传输 每个 16 位定时器独立运行, 支持在不同内部或外部触发条件下进行复位 使能和禁用, 可编程触发极性 灵活的引脚配置支持输出禁用 开漏 双向输出数据和输出模式 支持中断 DMA 或轮询发送 / 接收操作 KE1xF Microcontroller, Rev 2, 09/

20 概述 端口控制和 GPIO 端口控制和中断 (PORT) 模块可以为端口控制 数字滤波和外部中断功能提供支持 当引脚配置为 GPIO 功能时,GPIO 数据方向和输出数据寄存器控制每个引脚的方向和输出数据 假设引脚相应的端口控制和中断模块已使能, 则当引脚配置为任意数字功能时,GPIO 输入数据寄存器显示每个引脚上的逻辑值 下图展示了基本 I/O 端口结构 当配置为开漏操作时, 伪开漏引脚的 p 通道输出驱动器禁用 任何 I/O 引脚 ( 包括开漏和伪开漏引脚 ) 均不得超过 VDD 注 RESET_b 引脚也是一个带有伪开漏的正常 I/O 端口 数字输入 IBE=1, 当 MUX 000 IFE IBE LPF MUX ESD 总线 VDD PE RPULL PS 模拟输入 数字输出 DSE 图 5. I/O 简化框图 PORT 模块具有以下特性 : 所有引脚支持中断使能 可配置边沿 ( 上升 下降或两者 ) 或电平触发中断类型 支持 DMA 请求 20 KE1xF Microcontroller, Rev 2, 09/2016

21 存储器映射 低功耗模式下的异步唤醒 选定引脚上提供可配置上拉 下拉和拉动禁用 选定引脚上提供可配置高 / 低驱动强度 选定引脚上提供可配置无源滤波器 单独的多路复用控制字段, 支持模拟或引脚禁用 GPIO 和特定芯片数字功能 端口配置字段在所有数字引脚多路复用模式下均有效 GPIO 模块具有以下特性 : 端口数据输入寄存器适用于所有数字引脚多路复用模式 端口数据输出寄存器具有相应的置位 / 清零 / 切换寄存器 端口数据方向寄存器 GPIO 支持通过快速 GPIO 进行单周期访问 3 存储器映射 本器件包含多种存储器和内存映射外设, 并且都在 4 GB 的存储空间之内 有关系统存储器和外设位置的更多详细信息, 请参见参考手册的 存储器映射 章节 KE1xF Microcontroller, Rev 2, 09/

22 存储器映射 0x0000_0000 0x0800_0000 0x1000_0000 0x1400_0000 0x1800_0000 0x1C00_0000 0x1C00_4000 0x1FF0_0000 0x2010_0000 0x2200_0000 0x2400_0000 0x4000_0000 0x4010_0000 0x4200_0000 0x4400_0000 0xE000_0000 0xE010_0000 0xFFFF_FFFF Code space Reserved FlexNVM FlexRAM Reserved Boot ROM Reserved Data Space Reserved Aliased to SRAM_U bit-band region Reserved Public peripheral Reserved Aliased to AIPS and GPIO bit-band region Reserved Private peripheral Reserved 0x0000_0000 0x07FF_FFFF 0x1C00_0000 0x1C00_0000 0x1C00_3FFF 0x1C00_3FFF 0x1FF0_0000 0x2000_0000 0x200F_FFFF 0x4000_0000 0x4008_0000 0x400F_F000 0x400F_FFFF 0xE000_0000 0xE000_E000 0xE000_F000 0xE00F_F000 0xE00F_FFFF Flash ROM SRAM_L SRAM_U AIPS peripherals Reserved GPIO Reserved System control space Reserved Core ROM table 0x4000_0000 0x4000_1000 0x4000_8000 0x4000_9000 0x4000_A000 0x4000_D000 0x4000_E000 0x4000_F000 0x4001_0000 0x4002_0000 0x4002_1000 0x4002_2000 0x4002_5000 0x4002_6000 0x4002_7000 0x4002_8000 0x4002_C000 0x4002_D000 0x4002_E000 0x4003_2000 0x4003_3000 0x4003_6000 0x4003_7000 0x4003_8000 0x4003_9000 0x4003_A000 0x4003_B000 0x4003_C000 0x4003_D000 0x4003_E000 0x4004_0000 0x4004_1000 0x4004_8000 0x4004_9000 0x4004_A000 0x4004_B000 0x4004_C000 0x4004_D000 0x4004_E000 0x4005_2000 0x4005_3000 0x4005_6000 0x4005_7000 0x4005_A000 0x4005_B000 0x4006_0000 0x4006_1000 0x4006_2000 0x4006_3000 0x4006_4000 0x4006_5000 0x4006_6000 0x4006_7000 0x4006_8000 0x4006_A000 0x4006_B000 0x4006_C000 0x4006_D000 0x4007_3000 0x4007_4000 0x4007_5000 0x4007_6000 0x4007_D000 0x4007_E000 0x4007_F000 0x4007_FFFF AIPS-Lite Reserved edma DMA TCD Reserved MPU Reserved GPIO controller (aliased to 400F_F000) Reserved Flash memory unit DMAMUX0 4003_4000: FlexCAN0 FlexCAN1 FTM3 ADC1 Reserved LPSPI0 LPSPI1 4003_1000: PDB1 CRC 4003_3000: PDB2 PDB0 LPIT0 FTM0 FTM1 FTM2 ADC0 ADC2 RTC 4003_F000: DAC0 LPTMR0 Reserved SIM PORT A PORT B PORT C PORT D PORT E Reserved WDOG Reserved PWT Reserved FlexIO Reserved OSC32 EWM TRGMUX0 TRGMUX1 SCG PCC LPI2C0 LPI2C1 Reserved LPUART0 LPUART1 LPUART2 Reserved CMP0 CMP1 CMP2 Reserved PMC SMC RCM 图 6. 存储器映射 22 KE1xF Microcontroller, Rev 2, 09/2016

23 引脚配置 4 引脚配置 4.1 KE1xF 信号多路复用和引脚分配 下表显示的是各引脚上的信号以及这些引脚在本文档所支持芯片上的位置 端口控制模块 负责选择每个引脚上可用的 ALT 功能 100 LQFP 64 LQFP 10 VREFL/ VSS 注在该器件上, 具有多个特殊 ADC 通道用于支持多个 ADC 之间的硬件交错 以 ADC0_SE4 和 ADC1_SE14 通道为例, 这两个通道可独立工作, 但是也可用作硬件交错通道 在硬件交错式模式下,ADC0 和 ADC1 均可对引脚 PTB0 上的信号进行采样 交错式模式由 SIM_CHIPCTL[ADC_INTERLEAVE_EN] 位使能 更多信息, 请参见参考手册的 ADC 章节中的 ADC 硬件交错式通道 Pin Name 默认值 ALT0 ALT1 ALT2 ALT3 ALT4 ALT5 ALT6 ALT7 VREFL/ VSS VREFL/ VSS 1 PTE16 DISABLED PTE16 FTM2_CH7 FXIO_D3 TRGMUX_ OUT7 2 PTE15 DISABLED PTE15 FTM2_CH6 FXIO_D2 TRGMUX_ OUT6 3 1 PTD1 ADC2_SE1 ADC2_SE1 PTD1 FTM0_CH3 LPSPI1_SIN FTM2_CH1 FXIO_D1 TRGMUX_ OUT2 4 2 PTD0 ADC2_SE0 ADC2_SE0 PTD0 FTM0_CH2 LPSPI1_SCK FTM2_CH0 FXIO_D0 TRGMUX_ OUT1 5 3 PTE11 ADC2_SE13 ADC2_SE13 PTE11 PWT_IN1 LPTMR0_ ALT1 FTM2_CH5 FXIO_D5 TRGMUX_ OUT5 6 4 PTE10 ADC2_SE12 ADC2_SE12 PTE10 CLKOUT FTM2_CH4 FXIO_D4 TRGMUX_ OUT4 7 PTE13 DISABLED PTE13 FTM2_FLT0 8 5 PTE5 DISABLED PTE5 TCLK2 FTM2_QD_ PHA 9 6 PTE4 DISABLED PTE4 BUSOUT FTM2_QD_ PHB 10 7 VDD VDD VDD 11 8 VDDA VDDA VDDA 12 9 VREFH VREFH VREFH FTM2_CH3 CAN0_TX FXIO_D7 EWM_IN FTM2_CH2 CAN0_RX FXIO_D6 EWM_OUT_b KE1xF Microcontroller, Rev 2, 09/

24 引脚配置 100 LQFP 64 LQFP Pin Name 默认值 ALT0 ALT1 ALT2 ALT3 ALT4 ALT5 ALT6 ALT7 13 VREFL VREFL VREFL 14 VSS VSS VSS PTB7 EXTAL EXTAL PTB7 LPI2C0_SCL PTB6 XTAL XTAL PTB6 LPI2C0_SDA 17 PTE14 ACMP2_IN3 ACMP2_IN3 PTE14 FTM0_FLT1 FTM2_FLT PTE3 DISABLED PTE3 FTM0_FLT0 LPUART2_ RTS 19 PTE12 DISABLED PTE12 FTM0_FLT3 LPUART2_TX 20 PTD17 DISABLED PTD17 FTM0_FLT2 LPUART2_RX PTD16 ACMP2_IN0 ACMP2_IN0 PTD16 FTM0_CH PTD15 ACMP2_IN1 ACMP2_IN1 PTD15 FTM0_CH PTE9 ACMP2_IN2/ DAC0_OUT ACMP2_IN2/ DAC0_OUT PTE9 FTM0_CH7 LPUART2_ CTS FTM2_FLT0 TRGMUX_IN6 ACMP2_OUT 24 PTD14 DISABLED PTD14 FTM2_CH5 CLKOUT 25 PTD13 DISABLED PTD13 FTM2_CH4 RTC_CLKOUT PTE8 ACMP0_IN3 ACMP0_IN3 PTE8 FTM0_CH PTB5 DISABLED PTB5 FTM0_CH5 LPSPI0_PCS1 TRGMUX_IN0 ACMP1_OUT PTB4 ACMP1_IN2 ACMP1_IN2 PTB4 FTM0_CH4 LPSPI0_ SOUT PTC3 ADC0_SE11/ ACMP0_IN4/ EXTAL PTC2 ADC0_SE10/ ACMP0_IN5/ XTAL32 ADC0_SE11/ ACMP0_IN4/ EXTAL32 ADC0_SE10/ ACMP0_IN5/ XTAL32 PTC3 FTM0_CH3 CAN0_TX PTC2 FTM0_CH2 CAN0_RX PTD7 DISABLED PTD7 LPUART2_TX FTM2_FLT PTD6 DISABLED PTD6 LPUART2_RX FTM2_FLT PTD5 DISABLED PTD5 FTM2_CH3 LPTMR0_ ALT2 34 PTD12 DISABLED PTD12 FTM2_CH2 LPI2C1_ HREQ 35 PTD11 DISABLED PTD11 FTM2_CH1 FTM2_QD_ PHA 36 PTD10 DISABLED PTD10 FTM2_CH0 FTM2_QD_ PHB 37 VSS VSS VSS 38 VDD VDD VDD PTC1 ADC0_SE9/ ACMP1_IN PTC0 ADC0_SE8/ ACMP1_IN4 ADC0_SE9/ ACMP1_IN3 ADC0_SE8/ ACMP1_IN4 TRGMUX_IN1 FTM2_FLT1 PWT_IN2 TRGMUX_IN7 LPUART2_ RTS LPUART2_ CTS PTC1 FTM0_CH1 FTM1_CH7 PTC0 FTM0_CH0 FTM1_CH6 41 PTD9 ACMP1_IN5 ACMP1_IN5 PTD9 LPI2C1_SCL FTM2_FLT3 FTM1_CH5 42 PTD8 DISABLED PTD8 LPI2C1_SDA FTM2_FLT2 FTM1_CH4 24 KE1xF Microcontroller, Rev 2, 09/2016

25 引脚配置 100 LQFP 64 LQFP Pin Name 默认值 ALT0 ALT1 ALT2 ALT3 ALT4 ALT5 ALT6 ALT PTC17 ADC0_SE15 ADC0_SE15 PTC17 FTM1_FLT3 LPI2C1_SCLS PTC16 ADC0_SE14 ADC0_SE14 PTC16 FTM1_FLT2 LPI2C1_SDAS PTC15 ADC0_SE13/ ACMP2_IN PTC14 ADC0_SE12/ ACMP2_IN5 ADC0_SE13/ ACMP2_IN4 ADC0_SE12/ ACMP2_IN5 PTC15 PTC14 FTM1_CH3 FTM1_CH PTB3 ADC0_SE7 ADC0_SE7 PTB3 FTM1_CH1 LPSPI0_SIN FTM1_QD_ PHA PTB2 ADC0_SE6 ADC0_SE6 PTB2 FTM1_CH0 LPSPI0_SCK FTM1_QD_ PHB 49 PTC13 DISABLED PTC13 FTM3_CH7 FTM2_CH7 50 PTC12 DISABLED PTC12 FTM3_CH6 FTM2_CH6 51 PTC11 DISABLED PTC11 FTM3_CH5 52 PTC10 DISABLED PTC10 FTM3_CH PTB1 ADC0_SE5 ADC0_SE5 PTB1 LPUART0_TX LPSPI0_ SOUT TCLK PTB0 ADC0_SE4 ADC0_SE4 PTB0 LPUART0_RX LPSPI0_PCS0 LPTMR0_ ALT3 PWT_IN3 TRGMUX_IN2 TRGMUX_IN PTC9 ADC2_SE15 ADC2_SE15 PTC9 LPUART1_TX FTM1_FLT1 LPUART0_ RTS PTC8 ADC2_SE14 ADC2_SE14 PTC8 LPUART1_RX FTM1_FLT0 LPUART0_ CTS PTA7 ADC0_SE3/ ACMP1_IN PTA6 ADC0_SE2/ ACMP1_IN PTE7 ADC2_SE2/ ACMP2_IN VSS VSS VSS VDD VDD VDD ADC0_SE3/ ACMP1_IN1 ADC0_SE2/ ACMP1_IN0 ADC2_SE2/ ACMP2_IN6 PTA7 FTM0_FLT2 RTC_CLKIN LPUART1_ RTS PTA6 FTM0_FLT1 LPSPI1_PCS1 LPUART1_ CTS PTE7 FTM0_CH7 FTM3_FLT0 62 PTA17 DISABLED PTA17 FTM0_CH6 FTM3_FLT0 EWM_OUT_b 63 PTB17 ADC2_SE3 ADC2_SE3 PTB17 FTM0_CH5 LPSPI1_PCS3 64 PTB16 ADC1_SE15 ADC1_SE15 PTB16 FTM0_CH4 LPSPI1_ SOUT 65 PTB15 ADC1_SE14 ADC1_SE14 PTB15 FTM0_CH3 LPSPI1_SIN 66 PTB14 ADC1_SE9 ADC1_SE9 PTB14 FTM0_CH2 LPSPI1_SCK PTB13 ADC1_SE8 ADC1_SE8 PTB13 FTM0_CH1 FTM3_FLT PTB12 ADC1_SE7 ADC1_SE7 PTB12 FTM0_CH0 FTM3_FLT PTD4 ADC1_SE6/ ACMP1_IN6 ADC1_SE6/ ACMP1_IN6 PTD4 FTM0_FLT3 FTM3_FLT PTD3 NMI_b ADC1_SE3 PTD3 FTM3_CH5 LPSPI1_PCS0 FXIO_D5 TRGMUX_IN4 NMI_b PTD2 ADC1_SE2 ADC1_SE2 PTD2 FTM3_CH4 LPSPI1_ SOUT FXIO_D4 TRGMUX_IN PTA3 ADC1_SE1 ADC1_SE1 PTA3 FTM3_CH1 LPI2C0_SCL EWM_IN LPUART0_TX KE1xF Microcontroller, Rev 2, 09/

26 引脚配置 100 LQFP 64 LQFP Pin Name 默认值 ALT0 ALT1 ALT2 ALT3 ALT4 ALT5 ALT6 ALT PTA2 ADC1_SE0 ADC1_SE0 PTA2 FTM3_CH0 LPI2C0_SDA EWM_OUT_b LPUART0_RX 74 PTB11 ADC2_SE8 ADC2_SE8 PTB11 FTM3_CH3 LPI2C0_ HREQ 75 PTB10 ADC2_SE9 ADC2_SE9 PTB10 FTM3_CH2 LPI2C0_SDAS 76 PTB9 ADC2_SE10 ADC2_SE10 PTB9 FTM3_CH1 LPI2C0_SCLS 77 PTB8 ADC2_SE11 ADC2_SE11 PTB8 FTM3_CH PTA1 ADC0_SE1/ ACMP0_IN PTA0 ADC0_SE0/ ACMP0_IN0 ADC0_SE1/ ACMP0_IN1 ADC0_SE0/ ACMP0_IN0 PTA1 FTM1_CH1 LPI2C0_SDAS FXIO_D3 FTM1_QD_ PHA PTA0 FTM2_CH1 LPI2C0_SCLS FXIO_D2 FTM2_QD_ PHA PTC7 ADC1_SE5 ADC1_SE5 PTC7 LPUART1_TX CAN1_TX FTM3_CH PTC6 ADC1_SE4 ADC1_SE4 PTC6 LPUART1_RX CAN1_RX FTM3_CH2 82 PTA16 ADC1_SE13 ADC1_SE13 PTA16 FTM1_CH3 LPSPI1_PCS2 83 PTA15 ADC1_SE12 ADC1_SE12 PTA15 FTM1_CH2 LPSPI0_PCS PTE6 ADC1_SE11/ ACMP0_IN6 ADC1_SE11/ ACMP0_IN PTE2 ADC1_SE10 ADC1_SE10 PTE2 LPSPI0_ SOUT 86 VSS VSS VSS 87 VDD VDD VDD LPUART0_ RTS LPUART0_ CTS PTE6 LPSPI0_PCS2 FTM3_CH7 LPUART1_ RTS LPTMR0_ ALT3 FTM3_CH6 PWT_IN3 LPUART1_ CTS TRGMUX_ OUT0 TRGMUX_ OUT3 88 PTA14 DISABLED PTA14 FTM0_FLT0 FTM3_FLT1 EWM_IN FTM1_FLT0 BUSOUT PTA13 ADC2_SE4 ADC2_SE4 PTA13 FTM1_CH7 CAN1_TX LPI2C1_SCLS PTA12 ADC2_SE5 ADC2_SE5 PTA12 FTM1_CH6 CAN1_RX LPI2C1_SDAS PTA11 DISABLED PTA11 FTM1_CH5 LPUART0_RX FXIO_D PTA10 JTAG_TDO/ noetm_trace_ SWO PTE1 ADC2_SE6 ADC2_SE6 PTE1 LPSPI0_SIN LPI2C0_ HREQ PTA10 FTM1_CH4 LPUART0_TX FXIO_D0 JTAG_TDO/ noetm_trace_ SWO LPI2C1_SCL FTM1_FLT PTE0 ADC2_SE7 ADC2_SE7 PTE0 LPSPI0_SCK TCLK1 LPI2C1_SDA FTM1_FLT PTC5 JTAG_TDI PTC5 FTM2_CH0 RTC_CLKOUT LPI2C1_ HREQ PTC4 JTAG_TCLK/ SWD_CLK FTM2_QD_ PHB ACMP0_IN2 PTC4 FTM1_CH0 RTC_CLKOUT EWM_IN FTM1_QD_ PHB PTA5 RESET_b PTA5 TCLK1 JTAG_TRST_ b PTA4 JTAG_TMS/ SWD_DIO JTAG_TDI JTAG_TCLK/ SWD_CLK RESET_b PTA4 ACMP0_OUT EWM_OUT_b JTAG_TMS/ SWD_DIO 99 PTA9 DISABLED PTA9 FXIO_D7 FTM3_FLT2 FTM1_FLT3 100 PTA8 DISABLED PTA8 FXIO_D6 FTM3_FLT3 26 KE1xF Microcontroller, Rev 2, 09/2016

27 引脚配置 4.2 端口控制和中断汇总 下表提供了关于端口控制和中断配置的更多信息 表 6. 端口汇总 功能端口 A 端口 B 端口 C 端口 D 端口 E 上拉或下拉选择控制 复位时的上拉或下拉选择 上拉或下拉使能控制 复位时的上拉或下拉使能 无源滤波器使能控制 复位时的无源滤波器使能 有有有有有 PTA4/PTA5= 上拉, 无 PTC5= 上拉, 其他 = PTD3= 上拉, 其他 = 无 其他 = 无 无 无 有有有有有 PTA4/PTA5= 使能 ; 其他 = 禁用 禁用 PTC4/PTC5= 使能 ; 其他 = 禁用 PTD3= 使能 ; 其他 = 禁用 PTA5= 有 ; 其他 = 无无无 PTD3= 有 ; 其他 = 无无 PTA5= 使能 ; 其他 = 禁用 禁用 禁用禁用禁用禁用 开漏使能控制禁用禁用禁用禁用禁用 复位时的开漏使能禁用禁用禁用禁用禁用 驱动强度使能控制无 仅 PTB4/PTB5 无 仅 PTD0/PTD1/ PTD15/PTD16 复位时的驱动强度使能 禁用禁用禁用禁用禁用 引脚复用控制有有有有有 复位时的引脚复用 PTA4/PTA5/ PTA10=ALT7; 其他 =ALT0 ALT0 PTC4/ PTD3=ALT7; 其他 ALT0 PTC5=ALT7; 其他 =ALT0 =ALT0 锁定位有有有有有 中断和 DMA 请求支持有有有支持 数字毛刺滤波器有有有有有 仅 PTE0/PTE1 4.3 模块信号说明表 下面的章节说明芯片级信号名称与模块章节中使用的信号名称的关联 同时简要介绍信号功能和方向 KE1xF Microcontroller, Rev 2, 09/

28 引脚配置 内核模块 表 7. JTAG 信号说明 芯片信号名称模块信号名称说明 I/O JTAG_TMS JTAG_TCLK JTAG_TMS/ SWD_DIO JTAG_TCLK/ SWD_CLK JTAG 测试模式选择 JTAG 测试时钟 JTAG_TDI JTAG_TDI JTAG 测试数据输入 I JTAG_TDO JTAG_TDO/ TRACE_SWO JTAG 测试数据输出 JTAG_TRST_b JTAG_TRST_b JTAG 复位 I I/O I O 表 8. SWD 信号说明 芯片信号名称模块信号名称说明 I/O SWD_CLK JTAG_TCLK/ SWD_CLK 串行线时钟 I SWD_DIO JTAG_TMS/ SWD_DIO 串行线数据 I/O 表 9. TPIU 信号说明 芯片信号名称模块信号名称说明 I/O TRACE_SWO JTAG_TDO/ TRACE_SWO 通过单引脚跟踪 ARM CoreSight 调试块的输出数据 O 系统模块 表 10. 系统信号说明 芯片信号名称模块信号名称说明 I/O NMI_b 不可屏蔽中断 注 : 如果相应引脚选择 NMI 功能, 则将 NMI 信号驱 动至低电平会强制生成不可屏蔽中断 I RESET_b 复位双向信号 I/O VDD MCU 电源 I VSS MCU 接地 I 28 KE1xF Microcontroller, Rev 2, 09/2016

29 引脚配置 表 11. EWM 信号说明 芯片信号名称模块信号名称说明 I/O EWM_IN EWM_in 用于外部安全电路安全状态的 EWM 输入 EWM_IN 的极性可通 过 EWM_CTRL[ASSIN] 位进行编程 默认极性为低电平有效 EWM_OUT_b EWM_out EWM 复位输出信号 O I 时钟模块 表 12. OSC( 在 SCG 中 ) 信号说明 芯片信号名称 模块信号名称说明 I/O EXTAL EXTAL 外部时钟 / 振荡器输入 I XTAL XTAL 振荡器输出 O 表 13. RTC 振荡器 (OSC32) 信号说明 芯片信号名称 模块信号名称 说明 I/O EXTAL32 EXTAL khz 振荡器输入 I XTAL32 XTAL khz 振荡器输出 O 模拟 表 14. ADCn 信号说明 芯片信号名称 模块信号名称 说明 I/O ADCn_SE[15:0] AD[15:0] 单端模拟通道输入 I VREFH V REFSH 参考电压 ( 高 ) I VREFL V REFSL 参考电压 ( 低 ) I VDDA V DDA 模拟电源 I 表 15. DAC0 信号说明 芯片信号名称模块信号名称说明 I/O DAC0_OUT DAC 输出 O KE1xF Microcontroller, Rev 2, 09/

30 引脚配置 表 16. ACMPn 信号说明 芯片信号名称 模块信号名称 说明 I/O ACMPn_IN[ 6:0] IN[ 6:0] 模拟电压输入 I ACMPn_OUT CMPO 比较器输出 O 定时器模块 表 17. LPTMR0 信号说明 芯片信号名称模块信号名称说明 I/O LPTMR0_ALT[3:1] LPTMR_ALTn 脉冲计数器输入引脚 I 表 18. RTC 信号说明 芯片信号名称模块信号名称说明 I/O RTC_CLKOUT RTC_CLKOUT 1 Hz 方波输出或 32 khz 时钟 O 表 19. FTMn 信号说明 芯片信号名称 模块信号名称 说明 I/O FTMn_CH[7:0] CHn FTM 通道 (n), 其中 n 可以是 7-0 I/O FTMn_FLT[3:0] FAULTj 故障输入 (j), 其中 j 可以是 3-0 I TCLK[2:0] EXTCLK 外部时钟 可选择 FTM 外部时钟用来驱动 FTM 计数器 I 通信接口 表 20. CANn 信号说明 芯片信号名称模块信号名称说明 I/O CANn_RX CAN Rx CAN 接收引脚 I CANn_TX CAN Tx CAN 发送引脚 O 表 21. LPSPIn 信号说明 芯片信号名称 模块信号名称 说明 I/O LPSPIn_SOUT SOUT 串行数据输出 O LPSPIn_SIN SIN 串行数据输入 I LPSPIn_SCK SCK 串行时钟 I/O 下一页继续介绍此表 KE1xF Microcontroller, Rev 2, 09/2016

31 引脚配置 表 21. LPSPIn 信号说明 ( 继续 ) 芯片信号名称模块信号名称说明 I/O LPSPIn_PCS[3:0] PCS[3:0] 外设芯片选择 0-3 I/O 表 22. LPI2Cn 信号说明 芯片信号名称 模块信号名称 说明 I/O LPI2Cn_SCL SCL I2C 系统的双向串行时钟线路 I/O LPI2Cn_SDA SDA I2C 系统的双向串行数据线路 I/O LPI2Cn_HREQ HREQ 主机请求, 可在置位且 I2C 总线闲置时启动 LPI2C 主机传输 I LPI2Cn_SCLS SCLS 辅助 I2C 时钟线路 I/O LPI2Cn_SDAS SDAS 辅助 I2C 数据线路 I/O 表 23. LPUARTn 信号说明 芯片信号名称 模块信号名称 说明 I/O LPUARTn_TX LPUART_TX 发送数据 O LPUARTn_RX LPUART_RX 接收数据 I LPUARTn_CTS LPUART_CTS 清除发送 I LPUARTn_RTS LPUART_RTS 请求发送 O 表 24. FlexIO 信号说明 芯片信号名称模块信号名称说明 I/O FXIO_D[7:0] FXIO_D[7:0] 双向 FlexIO 移位器和定时器引脚输入 / 输出 I/O 人机接口 (HMI) 表 25. GPIO 信号说明 芯片信号名称 模块信号名称 说明 I/O PTA[17:0] PORTA17 PORTA0 通用输入 / 输出 I/O PTB[17:0] PORTB17 PORTB0 通用输入 / 输出 I/O PTC[17:0] PORTC17 PORTC0 通用输入 / 输出 I/O PTD[17:0] PORTD17 PORTD0 通用输入 / 输出 I/O PTE[16:0] PORTE16 PORTE0 通用输入 / 输出 I/O KE1xF Microcontroller, Rev 2, 09/

32 引脚配置 4.4 引脚分配图 下图显示的是本文档所支持芯片的引脚分配 多个信号可通过单个引脚进行复用 要确定每个引脚上可以使用哪些信号, 请参见之前的引脚分配表 100 PTA8 99 PTA9 98 PTA4 97 PTA5 96 PTC4 95 PTC5 94 PTE0 93 PTE1 92 PTA10 91 PTA11 90 PTA12 89 PTA13 88 PTA14 87 VDD 86 VSS 85 PTE2 84 PTE6 83 PTA15 PTA0 PTA1 PTB8 PTB PTE PTB10 PTE PTB11 PTD PTA2 PTD PTA3 PTE PTD2 PTE PTD3 PTE PTD4 PTE PTB12 PTE PTB13 VDD PTB14 VDDA PTB15 VREFH PTB16 VREFL PTB17 VSS PTA17 PTB VDD PTB VSS PTE PTE7 PTE PTA6 PTE PTA7 PTD PTC8 PTD PTC9 PTD PTB0 PTE PTB1 PTD PTC10 PTD PTC11 PTE8 PTB5 PTB4 PTC3 PTC2 PTD7 PTD6 PTD5 PTD12 PTD11 PTD10 VSS VDD PTC1 PTC0 PTD9 PTD8 PTC17 PTC16 PTC15 PTC14 PTB3 PTB2 PTC13 PTC12 PTA16 PTC PTC7 图 LQFP 引脚分配图 32 KE1xF Microcontroller, Rev 2, 09/2016

33 PTC3 PTB4 PTB5 PTE8 PTE9 PTD15 PTD16 PTE3 PTB6 PTB7 VREFL / VSS VREFH VDDA VDD PTE4 PTE5 PTE10 PTE11 PTD0 PTD PTA4 PTA5 PTC4 PTC5 PTE0 PTE1 PTA10 PTA11 PTA12 PTA13 PTE2 PTE6 PTC6 PTC7 PTA0 PTA1 PTA2 PTA3 PTD2 PTD3 PTD4 PTB12 PTB13 VDD VSS PTE7 PTA6 PTA7 PTC8 PTC9 PTB0 PTB1 PTB2 PTB3 PTC14 PTC15 PTC16 PTC17 PTC0 PTC1 PTD5 PTD6 PTD7 PTC2 图 LQFP 引脚分配图 4.5 封装尺寸下图显示本文档中所支持器件的封装尺寸 引脚配置 KE1xF Microcontroller, Rev 2, 09/

34 引脚配置 图 引脚 LQFP 封装尺寸 1 34 KE1xF Microcontroller, Rev 2, 09/2016

35 引脚配置 图 引脚 LQFP 封装尺寸 2 KE1xF Microcontroller, Rev 2, 09/

36 引脚配置 图 引脚 LQFP 封装尺寸 1 36 KE1xF Microcontroller, Rev 2, 09/2016

37 引脚配置 图 引脚 LQFP 封装尺寸 2 KE1xF Microcontroller, Rev 2, 09/

38 电气特性 5 电气特性 5.1 术语和准则 定义 主要术语定义如下表所示 : 术语 极限技术特性的最小值或最大值, 如果超过此值, 可能会导致芯片发生永久性故障 : 工作要求 工作特性 注 : 工作极限适用于芯片操作过程中 处理极限适用于芯片未通电的情况 定义 只要某个特性开始超过某个工作极限, 芯片永久受损的可能性就会快速增加 是指在操作过程中必须保证达到的技术特性的指定值或值范围, 目的是避免错误操作以及缩短芯片使用寿命 在操作过程中, 只要满足工作要求及其他任何指定条件, 即保证达到的技术特性的指定值或值范围 典型值满足下列条件的技术特性的指定值 : 在工作特性指定的值范围内 在操作过程中, 当满足典型值条件或其他指定条件时所呈现出的特性 注 : 典型值供设计指导之用, 未测试和担保 38 KE1xF Microcontroller, Rev 2, 09/2016

39 电气特性 示例 工作极限 : 示例 工作要求 : 工作特性包括典型值 : 示例 示例 典型值条件 典型值假设满足下列条件 ( 或指定的其他条件 ): 符号说明值单位 T A 环境温度 25 C V DD 供电电压 5.0 V KE1xF Microcontroller, Rev 2, 09/

40 电气特性 极限与工作要求的关系 工作极限 ( 最小值 ) 工作要求 ( 最小值 ) 工作要求 ( 最大值 ) 工作极限 ( 最大值 ) 致命错误范围 降额工作范围 正常工作范围 降额工作范围 致命错误范围 预期永久性错误 - 无永久性错误 - 可能会缩短使用寿命 - 可能操作不当 - 无永久性故障 - 正确操作 - 无永久性错误 - 可能会缩短使用寿命 - 可能操作不当 预期永久性错误 工作 ( 上电 ) 处理极限 ( 最小值 ) 处理极限 ( 最大值 ) 致命错误范围 处理范围 致命错误范围 预期永久性错误 无永久性错误 预期永久性错误 处理 ( 掉电 ) 极限和工作要求准则 在应用极限和工作要求时, 请遵循以下准则 : 切勿超出芯片的任何一个极限 在正常操作期间, 不要超出芯片的任何一项工作要求 如果在非正常操作期间 ( 例如在上电时序期间 ) 必须要超出某项工作要求, 请尽量缩短持续时间 5.2 极限参数 热处理极限 符号说明最小值最大值单位注释 T STG 存储温度 C 1 T SDR 无铅焊接温度 260 C 2 1. 根据 JEDEC 标准 JESD22-A103 高温存储时间 确定 2. 根据 IPC/JEDEC 标准 J-STD-020 非密封固态表面安装器件的潮湿 / 回流敏感度分级 确定 40 KE1xF Microcontroller, Rev 2, 09/2016

41 电气特性 湿度处理极限 符号说明最小值最大值单位注释 MSL 湿度灵敏度等级 根据 IPC/JEDEC 标准 J-STD-020 非密封固态表面安装器件的潮湿 / 回流敏感度分级 确定 ESD 处理极限 符号 说明 最小值 最大值 单位 注释 V HBM 静电放电电压, 人体放电模式 V 1 V CDM 静电放电电压, 器件充电模式 2 除边角引脚外的所有引脚 V 仅边角引脚 V I LAT 上限环境温度下的闩锁电流 ma 3 1. 根据 JEDEC 标准 JESD22-A114 静电放电 (ESD) 灵敏度测试人体放电模式 (HBM) 标准 确定 2. 根据 JEDEC 标准 JESD22-C101 微电子组件静电放电耐压阈值的电场感应器件充电模式测试方法 确定 3. 根据 JEDEC 标准 JESD78 IC 闩锁测试 确定 电压和电流工作极限 表 26. 电压和电流工作极限 符号 说明 最小值 最大值 单位 V DD 电源电压 V I DD 数字供电电流 80 ma V IO IO 引脚输入电压 V SS 0.3 V DD V I D 单引脚瞬态最大电流限值 ( 适用于所有端口引脚 ) ma V DDA 模拟供电电压 V DD 0.1 V DD V 5.3 综述 静态电气规格 KE1xF Microcontroller, Rev 2, 09/

42 电气特性 电压和电流工作要求表 27. 电压和电流工作要求 符号说明最小值最大值单位注释 V DD 电源电压 V V DDA 模拟供电电压 V V DD V DD 至 V DDA 差分电压 V V DDA V SS V SS 至 V SSA 差分电压 V V SSA I ICIO 模拟直流注入电流 单引脚 V IN < V SS V( 负电流注入 ) 5 ma 1, 2 V IN > V DD V( 正电流注入 ) + 5 ma I ICcont 连续引脚 DC 注入电流 区域限制, 包括 16 个连续引脚的负注入电流之和或正注入电流之和 25 ma V ODPU 开漏上拉电压电平 V DD V DD V 3 1. 所有模拟引脚通过 ESD 保护二极管内部钳位至 V SS 和 V DD 上 如果 V IN 小于 V AIO_MIN 或大于 V AIO_MAX, 则此处需要限流电阻 负直流注入电流的限流电阻计算公式是 :R=(V AIO_MIN -V IN )/ I ICIO 正注入电流的限流电阻计算公式是 :R=(V IN - V AIO_MAX )/ I ICIO 如果引脚暴露于正注入电流和负注入电流, 请选择两个计算阻值中的较大者 2. 保持注入电流 ( 最大值 ) 为 5 ma 时,I/O 引脚可以承受的最大电压 : 最大供电 V DD = 6.0 V, 可持续 60 秒寿命 ( 无开关限制 ) 或 10 小时 ( 如果器件处于复位或无开关状态 ) 最大 I/O 引脚电压 = 6.5 V( 注入电流 5 ma 时 ) 或 7.0 V( 注入电流 > 5 ma 时 ) 3. 开漏输出必须上拉至 V DD 直流电气规格 (3.3 V 范围和 5.0 V 范围 ) 表 28. 直流电气规格 符号参数值单位备注 V DD I/O 供电电压 1 V ih V V DD = 3.3 V 最小值典型值最大值 V DD = 5.0 V V V DD = 3.3 V 0.7 V DD V DD V DD = 5.0 V 0.65 V DD V DD V V DD = 3.3 V V SS V DD V DD = 5.0 V V SS V DD V V hys 输入缓冲器迟滞 0.06 V DD V Ioh_5 标准 I/O 拉电流性能, 测量于焊盘电压 = (V DDE 0.8 V) 时 下一页继续介绍此表 ma 42 KE1xF Microcontroller, Rev 2, 09/2016

43 电气特性 表 28. 直流电气规格 ( 继续 ) 符号参数值单位备注 Iol_5 最小值 典型值 V DD = 3.3 V DD = 5.0 V 4.8 ma 标准 I/O 拉电流性能, 测量于焊盘电压 = 0.8 V 2.4 ma V DD = 3.3 V DD = 5.0 V 4.4 ma Ioh_20 强 I/O 拉电流性能, 测量于焊盘电压 = (V DDE 0.8 V) 时, V DD = 3.3 V 10.8 V DD = 5.0 V 18.5 ma 3 Iol_20 强 I/O 灌电流性能, 测量于焊盘电压 = 0.8 V 时 V DD = 3.3 V DD = 5.0 V 18.5 ma 3 I_leak Hi-Z (OFF 状态 ) 漏电流 ( 每引脚 ) 300 na 5, 6 V OH 输出高电压 7 常规驱动引脚 (2.7 V V DD 4.0 V,I OH = 2.8 ma) 常规驱动引脚 (4.0 V V DD 5.5 V,I OH = 4.8 ma) 高规驱动引脚 (2.7 V V DD 4.0 V,I OH = 10.8 ma) 高驱动引脚 (4.0 V V DD 5.5 V,I OH = 18.5 ma) V DD 0.8 V V DD 0.8 V V DD 0.8 V V DD 0.8 V I OHT 所有端口的总输出高电流 100 ma V OL 输出低电压 7 常规驱动引脚 (2.7 V V DD 4.0 V,I OH = 2.8 ma) 常规驱动引脚 (4.0 V V DD 5.5 V,I OH = 4.8 ma) 高规驱动引脚 (2.7 V V DD 4.0 V,I OH = 10.8 ma) 高驱动引脚 (4.0 V V DD 5.5 V, I OH = 18.5 ma) 0.8 V 0.8 V 0.8 V 0.8 V I OLT 所有端口的总输出低电流 100 ma I IN 全温度范围内的输入漏电流 ( 每引脚 ) 8, V DD = 3.3 V 除高驱动端以外的所有引脚 μa 高驱动端引脚 μa 全温度范围内的输入漏电流 ( 每引脚 V DD = 5.5 V 下一页继续介绍此表... KE1xF Microcontroller, Rev 2, 09/

44 电气特性 表 28. 直流电气规格 ( 继续 ) 符号 参数 值 单位 备注 最小值 典型值 最大值 除高驱动端以外的所有引脚 μa 高驱动端引脚 μa R PU R PD 内部上拉电阻 kω V DD = 3.3 V DD = 5.0 V kω 内部下拉电阻 kω V DD = 3.3 V DD = 5.0 V kω 1. 最大电源爬坡率为 500 V/ms 2. 给定值为高驱动强度模式下测量所得 关于低驱动强度模式下的值, 请见之前给出的 Ioh_5 的值 ma I/O 引脚支持以高达 40 MHz 频率开关 50 pf 负载上的电流 4. 给定值为高驱动强度模式下测量所得 关于低驱动强度模式下的值, 请见之前给出的 Iol_5 的值 5. 当焊盘为 Hi-Z(OFF 状态 ) 时, 请参见流入内核的漏电流 6. 周边温度达到上限时的最大引脚漏电流 7. PTD0 PTD1 PTD15 PTD16 PTB4 PTB5 PTE0 和 PTE1 I/O 同时具有高驱动和常规驱动能力, 由相关的 Portx_PCRn[DSE] 控制位进行选择 所有其他 GPIO 都只有常规驱动能力 8. 当 GPIO 为 OFF 时, 参见 GPIO 上的引脚漏电流 9. 在 V DD 供电电压 = V DD ( 最小值 ) 且输入电压 = V SS 时测量 10. 在 V DD 供电电压 = V DD ( 最小值 ) 且输入电压 = V DD 时测量 稳压器电气特性 C DEC VDD VSS VDD C DEC C DEC C REF VDDA VREFH VREFL VSS 100 LQFP 封装 VDD VSS VDD / VDDA VREFH VREFL / VSS 64 LQFP 封装 VDD VREFL / VSS VDD VSS C DEC C DEC C REF C DEC C DEC 图 13. 引脚去耦 44 KE1xF Microcontroller, Rev 2, 09/2016

45 电气特性 表 29. 稳压器电气特性 符号 说明 最小值 典型值 最大值 单位 C, 1, 2 REF ADC 参考信号的高去耦电容 100 nf C 2, 3 DEC 建议的去耦电容值 100 nf 1. 欲提升 ADC 性能, 建议并联使用陶瓷电容 1 nf X7R/C0G 和 10 nf X7R 2. 应尽可能将电容靠近 VREFH/VREFL 或对应的 V DD /V SS 引脚放置 3. 对于 C DEC 的要求和电容值将由器件应用要求决定 注对于 64 LQFP, 一定要添加外部去耦电容 C DEC, 最小电容值为 100 nf LVR LVD 和 POR 工作要求表 30. V DD 电源 LVR LVD 和 POR 工作要求 符号说明最小值典型值最大值单位注释 V POR 上升沿和下降沿 V DD POR 检测电压 V V LVRX LVRX 下降沿阈值 (RUN HSRUN 和 STOP 模式 ) V V LVRX_HYST LVRX 迟滞 45 mv 1 V LVRX_LP LVRX 下降沿阈值 (VLPS/VLPR 模式 ) V V LVRX_LP_HYST LVRX 迟滞 (VLPS/VLPR 模式 ) 40 mv V LVD 下降沿低电压检测阈值 V V LVD_HYST LVD 迟滞 50 mv 1 V LVW 下降沿低压警告阈值 V V LVW_HYST LVW 迟滞 68 mv 1 V BG 带隙基准电压源 V 1. 上升沿阈值是下降沿阈值与迟滞电压之和 功耗模式转换的工作特性表 31. 功耗模式转换的工作特性 说明 系统时钟 内核, 总线,Flash 频率 (MHz) 最小值 Typ. (μs) 1 Max. (μs) 2 STOP RUN FIRC 48, 48, STOP RUN SPLL 120, 60, VLPS RUN FIRC 48, 48, VLPS RUN SPLL 120, 60, RUN HSRUN SPLL 120, 60, , 60, 下一页继续介绍此表... KE1xF Microcontroller, Rev 2, 09/

46 电气特性 表 31. 功耗模式转换的工作特性 ( 继续 ) 说明 系统时钟 内核, 总线,Flash 频率 (MHz) 最小值 Typ. (μs) 1 Max. (μs) 2 HSRUN RUN SPLL 120, 60, , 60, RUN VLPR SPLL SIRC 120, 60, 24 4, 4, VLPR RUN SIRC FIRC 4, 4, 1 48, 48, VLPR RUN SIRC SPLL 4, 4, 1 120, 60, WAIT RUN FIRC 48, 48, WAIT RUN SPLL 120, 60, VLPW VLPR SIRC 4, 4, VLPS VLPR SIRC 4, 4, VLPW RUN FIRC ( 重置值 ) 48, 48, 24 ( 重置值 ) t 3 POR FIRC ( 重置值 ) 48, 48, 24 ( 重置值 ) 典型值是指在温度 =25,V DD =3.3 V 环境下测试的平均值 2. 最大值是指平均值 +6 倍最差测试环境下的 sigma 值, 最差测试环境指周边温度最差且 V DD 在 2.7 V 至 5.5 V 之间 3. POR 事件后, 在芯片工作温度范围内, 从 V DD 到达 2.7 V 电压到执行第一条指令的参考电压所需的时间 功耗下表显示了器件处于不同运行模式下的目标功耗 注下表列出的最大值表示相当于均值加上三倍标准偏差的表征结果 ( 均值 + 3 倍标准差 ) 表 32. 功耗工作特性 模式 符号 时钟配置 说明 温度 最 小 值 典型值 最大值 1 单元 HSRUN I DD_HSRUN PLL 在 Flash 中以计算操作模式运行 CoreMark PLL PLL PLL flash@24mhz, VDD=5V 在 Flash 中运行 CoreMark, 禁用所有外设时钟 flash@24mhz, VDD=5V 在 Flash 中运行 CoreMark, 使能所有外设时钟 flash@24mhz,vdd=5v 在 Flash 中运行 While(1) 循环, 禁用所有外设时钟 下一页继续介绍此表 ma KE1xF Microcontroller, Rev 2, 09/2016

47 电气特性 表 32. 功耗工作特性 ( 继续 ) 模式 符号 时钟配置 说明 温度 最 小 值 PLL flash@24mhz,vdd=5v 在 Flash 中运行 While(1) 循环, 使能所有外设时钟 flash@24mhz, VDD=5V RUN I DD_RUN PLL 在 Flash 中以计算操作模式运行 CoreMark PLL PLL PLL PLL IRC48M IRC48M IRC48M IRC48M 总线 在 Flash 中运行 CoreMark, 禁用所有外设时钟 总线 在 Flash 中运行 CoreMark, 使能所有外设时钟 总线 在 Flash 中运行 While(1) 循环, 禁用所有外设时钟 总线 在 Flash 中运行 While(1) 循环, 使能所有外设时钟 总线 在 Flash 中以计算操作模式运行 CoreMark 总线 在 Flash 中运行 CoreMark, 禁用所有外设时钟 总线 在 Flash 中运行 CoreMark, 使能所有外设时钟 总线 在 Flash 中运行 While(1) 循环, 禁用所有外设时钟 总线 下一页继续介绍此表... 典型值 最大值 单元 ma KE1xF Microcontroller, Rev 2, 09/

48 电气特性 表 32. 功耗工作特性 ( 继续 ) 模式 符号 时钟配置 说明 温度 最 小 值 典型值 最大值 1 单元 VLPR I DD_VLPR IRC8M 在 Flash 中以计算操作模式极低功耗运行 CoreMark ma IRC8M IRC8M IRC8M IRC8M IRC2M IRC2M 总线 在 Flash 中以极低功耗运行 CoreMark, 禁用所有外设时钟 总线 在 Flash 中以极低功耗运行 CoreMark, 使能所有外设时钟 总线 在 Flash 中以极低功耗运行 While(1) 循环, 禁用所有外设时钟 总线 在 Flash 中以极低功耗运行 While(1) 循环, 使能所有外设时钟 总线 在 Flash 中以极低功耗运行 While(1) 循环, 禁用所有外设时钟 总线 在 Flash 中以极低功耗运行 While(1) 循环, 使能所有外设时钟 总线 WAIT I DD_WAIT PLL 内核禁用, 禁用 (Flash 休眠模式 使能 ),VDD=5 V, 所有外设时钟禁用 IRC48M 内核禁用, MHz, 禁用 (Flash 休眠模式使能 ),VDD=5 V, 所有外设时钟禁用 VLPW I DD_VLPW IRC8M 极低功耗待机模式电流, 内核禁用, 所有外设时钟禁用,VDD=5V IRC2M 极低功耗待机模式电流, 内核禁用, 所有外设时钟禁用,VDD=5V STOP I DD_STOP - 停止模式电流,VDD=5V, 偏置使能 2 25 及以 下 下一页继续介绍此表 ma ma μa KE1xF Microcontroller, Rev 2, 09/2016

49 电气特性 表 32. 功耗工作特性 ( 继续 ) 模式 符号 时钟配置 说明 温度 最 小 值 典型值 最大值 1 单元 STOP I DD_STOP - 停止模式电流,VDD=5V, 偏置使能 2 25 及以 下 VLPS I DD_VLPS - 极低功耗停止电流,VDD=5V, 偏置禁用 2 VLPS I DD_VLPS - 极低功耗停止电流,VDD=5V, 偏置使能 μa 及以下 μa 及以下 μa 这些值基于特性, 未在生产中进行测试 2. PMC_REGSC[CLKBIASDIS] 是控制位, 用来使能或禁用 STOP/VLPS 模式下的偏置功能 注通过 IAR 7.40 以高优化级别编译 CoreMark 基准代码, 针对平衡优化 低功耗模式外设电流增加值 典型值 符号说明典型值 I LPTMR I CMP I RTC I LPUART LPTMR 外设增加电流, 通过将设备置于 VLPS 模式, 开启 LPO 以使能 LPTMR 进行测量 该典型值包括 LPO 功耗 CMP 外设增加电流, 通过将设备置于 VLPS 模式, 且使能 CMP 进行测量, 使用 8 位 DAC 和单个外部输入进行比较 8 位 DAC 使用 VDDA 电压的一半作为参考电压 慢速模式 该典型值包括 8 位 DAC 功耗 RTC 外设增加电流, 通过将设备置于 VLPS 模式进行测量, 外部 32 khz 晶振利用 RTC_CR[OSCE] 位使能且使能 RTC 计数器 该典型值包括 EXTAL32(32 khz 外部晶振 ) 功耗 LPUART 外设增加电流, 将设备在选定的时钟源下至于 VLPS 模式进行测量, 以 波特率等待 RX 数据 该典型值包括选定时钟源功耗 (SIRC 8 MHz) 下一页继续介绍此表 na 16 μa 312 na 79 μa KE1xF Microcontroller, Rev 2, 09/

50 电气特性 符号说明典型值 I FTM I ADC I LPI2C I LPIT I LPSPI FTM 外设增加电流, 通过将设备置于 VLPW 模式进行测量, 配置为边沿对其 PWM 模式生成 100 Hz 频率 ADC 外设增加电流, 通过将设备置于 VLPS 模式进行测量, 并结合 VDD 和 VDDA 下的测量值 ADC 配置为低功耗模式, 使用 SIRC 时钟源,8 位分辨率, 持续转换模式 LPI2C 外设增加电流, 通过将设备置于 VLPS 模式进行测量, 选定时钟源发送 START 和 Slave 地址, 并等待 RX 数据 该典型值包括 DMA 功耗 LPIT 外设增加电流, 通过将设备置于 VLPS 模式进行测量, 在 Stop 模式下 8 MHz SIRC 使能 该典型值包括选定时钟源功耗 LPSPI 外设增加电流, 通过将设备置于 VLPS 模式进行测量, 选定时钟源在 SOUT 引脚上输出数据,SCK 500 kbit/s 该典型值包括 DMA 功耗 45 μa 484 μa 179 μa 18 μa 565 μa 示意图 : 典型 IDD_RUN 工作特性 下面的数据是在以下条件下测定的 : 在 Run 模式和 VLPR 模式下 SCG 均处于 SOSC 下 无 GPIO 切换输出 从 Flash 执行代码且使能高速缓存 对于 ALLOFF 曲线, 禁用除 FTFE 外的全部外设时钟 50 KE1xF Microcontroller, Rev 2, 09/2016

51 电气特性 运行电流与内核频率的关系 温度 = 25,VDD=5V 70.00E E E E-03 时钟门控 电流消耗 (A) 30.00E-03 全关 全开 20.00E E E+00 '1-1-1 '1-1-1 '1-1-1 '1-1-1 '1-1-1 '1-1-2 ' 内核 - 总线 -Flash 内核频率 图 14. RUN 模式供电电流与内核频率 KE1xF Microcontroller, Rev 2, 09/

52 电气特性 VLPR 电流与内核频率的关系 温度 = 25,VDD=5V 2.50E E E-03 时钟门控 全关 电流消耗 (A) 1.00E-03 全开 E E+00 '1-1-1 '1-1-2 ' 图 15. VLPR 模式供电电流与内核频率的关系 内核 - 总线 -Flash 内核频率 EMC 性能电磁兼容 (EMC) 性能很大程度上取决于 MCU 所处的环境 外部组件的板设计和布局, 电路拓扑选择 位置和特性以及 MCU 软件操作在 EMC 性能中起重要作用 系统设计人员可参阅 中提供的以下恩智浦应用笔记, 以获得针对优化 EMC 性能的建议与指导 AN2321: Designing for Board Level Electromagnetic Compatibility AN1050: Designing for Electromagnetic Compatibility (EMC) with HCMOS Microcontrollers AN1263: Designing for Electromagnetic Compatibility with Single-Chip Microcontrollers AN2764: Improving the Transient Immunity Performance of Microcontroller- Based Applications AN1259: System Design and Layout Techniques for Noise Reduction in MCUBased Systems 52 KE1xF Microcontroller, Rev 2, 09/2016

53 电气特性 EMC 电磁辐射工作特性 根据要求,NXP 可以提供芯片级别 IEC 标准的 EMC 测量 设计时需考虑电磁辐射 如果需要查找为设计系统以最大限度减少电磁辐射干扰提供指导的应用笔记 1. 请访问 2. 输入 EMC design 执行关键字搜索 3. 选择 文档 类搜索相应的应用笔记 电容属性 表 33. 电容属性 符号说明最小值最大值单位 C IN_A 输入电容 : 模拟引脚 7 pf C IN_D 输入电容 : 数字引脚 7 pf 注对于 EXTAL/XTAL 引脚, 请参见外部振荡器电气规格 开关规格 器件时钟规格 表 34. 器件时钟规格 符号 说明 最小值 最大值 单位 注释 高速 RUN ( 运行 ) 模式 f SYS 系统和内核时钟 168 MHz f BUS 总线时钟 84 MHz f FLASH Flash 时钟 24 MHz 常规 RUN ( 运行 ) 模式 f SYS 系统和内核时钟 120 MHz f BUS 总线时钟 60 MHz f FLASH Flash 时钟 24 MHz f LPTMR LPTMR 时钟 50 MHz VLPR / VLPW 模式 1 下一页继续介绍此表... KE1xF Microcontroller, Rev 2, 09/

54 电气特性 表 34. 器件时钟规格 ( 继续 ) 符号 说明 最小值 最大值 单位 注释 f SYS 系统和内核时钟 4 MHz f BUS 总线时钟 4 MHz f FLASH Flash 时钟 1 MHz f ERCLK 外部参考时钟 16 MHz f LPTMR LPTMR 时钟 13 MHz f FlexCAN FlexCAN 时钟 4 MHz 1. 这里介绍的有关 VLPR / VLPW 模式下的频率限制, 优先于其他任何模块的时序规格中列出的频率规格 交流电气特性 除非另有说明, 否则传输延迟在 50% 到 50% 点处测得, 上升时间和下降时间在 20% 和 80% 点处测得, 如下图所示 输入信号 中点 1 V IH 低 高 80% 50% 20% 下降时间 V IL 上升时间 中点是 V IL + (V IH - V IL ) / 2 图 16. 输入信号测量参考 除非另有说明, 否则所有数字 I/O 开关特性均假设输出引脚具备下列特性 C L =30 pf 负载 正常驱动强度 通用交流规格 下列通用规格适用于为 GPIO UART 和定时器进行配置的所有信号 表 35. 通用开关规格 符号说明最小值最大值单位注释 GPIO 引脚中断脉宽 ( 禁用数字毛刺滤波器 ) 同步路径 1.5 总线时钟周 期 外部 RESET 和 NMI 引脚中断脉宽 异步路径 100 ns 3 GPIO 引脚中断脉冲宽度 ( 禁用数字毛刺滤波器, 禁用无源滤波器 ) 异步路径 1, 2 50 ns 4 54 KE1xF Microcontroller, Rev 2, 09/2016

55 电气特性 1. 这是保证可通过引脚同步电路的最短脉冲宽度 低于该宽度的脉冲有可能不被识别 在 STOP 和 VLPS 模式中, 将避开 同步器, 所以可识别更短的脉冲 2. 必须满足更高的同步和异步时序要求 3. 这些引脚在输入端启用了无源滤波器 这是保证可识别的最短脉冲宽度 4. 这些引脚在输入端没有无源滤波器 这是保证可识别的最短脉冲宽度 交流电气规格 3.3 V 范围表 36. 功能焊盘交流电气规格 特性符号最小值典型值最大值单位 I/O 供电电压 Vdd V 1. 最大电源爬坡率为 500 V/ms 名称 传播延迟 (ns) 1 上升 / 下降沿 (ns) 2 驱动负荷 (pf) 最大值 最小值 最大值 常规驱动 I/O 焊盘 强驱动 I/O 焊盘 CMOS 输入 传播延迟值基于 50% 的内核输入到 50% 的输出测得 2. 边沿值是使用 20% 及 80% 的 VDD 电源测量所得 3. 输入斜率 = 2 ns 注所有的测量值考虑到 VDD 和 VSS 上 150 mv 的下降值 交流电气规格 5 V 范围表 37. 功能焊盘交流电气规格 特性符号最小值典型值最大值单位 I/O 供电电压 Vdd V 1. 最大电源爬坡率为 500 V/ms 名称 传播延迟 (ns) 1 上升 / 下降沿 (ns) 2 驱动负荷 (pf) 最大值 最小值 最大值 常规驱动 I/O 焊盘 强驱动 I/O 焊盘 CMOS 输入 KE1xF Microcontroller, Rev 2, 09/

56 电气特性 1. 传播延迟值基于 50% 的内核输入到 50% 的输出测得 2. 边沿值是使用 20% 及 80% 的 VDD 电源测量所得 3. 输入斜率 = 2 ns 注所有的测量值考虑到 VDD 和 VSS 上 150 mv 的下降值 热学规格 热学工作要求 表 38. 热学工作要求 符号说明最小值最大值单位注释 T J 裸片结温 C T A 环境温度 C 1 1. 仅当用户确保 T J 不会超过最大 T J 时才可超过最大 T A 确定 T J 的最简单方法是 :T J = T A + R θja 芯片功耗 热学属性 说明 以下章节中的表格描述了器件的热特性 注结温是裸片大小 片上功耗 封装热阻 安装面 ( 板 ) 温度 环境温度 气流, 或板上其他组件的功耗和板热阻的函数 引脚 LQFP 封装的热特性表 引脚 LQFP 封装的热特性 分类条件符号值单位 热阻, 结到外部环境 ( 自然对流 ) 1, 2 单层板 (1s) R θja 60 C/W 热阻, 结到外部环境 ( 自然对流 ) 1, 2 四层板 (2s2p) R θja 42 C/W 热阻, 结到外部环境 (@200 ft/min) 1, 3 单层板 (1s) R θjma 49 C/W 热阻, 结到外部环境 (@200 ft/min) 1, 3 四层板 (2s2p) R θjma 36 C/W 热阻, 结到板 4 R θjb 24 C/W 热阻, 结到外壳 5 R θjc 12 C/W 热阻, 结到封装顶部 6 自然对流 ψ JT 2 C/W 56 KE1xF Microcontroller, Rev 2, 09/2016

57 电气特性 1. 结温是裸片大小 片上功耗 封装热阻 安装环境 ( 板 ) 温度 环境温度 气流 板上其他组件的功耗和板热阻的函数 2. 基于 JEDEC JESD51-2 标准, 在水平方向电路板上自然对流 电路板分别符合 1s 或 2s2p 电路板的 JESD51-9 规范 3. 基于 JEDEC JESD51-6 标准, 在水平方向电路板上强制对流 电路板分别符合 1s 或 2s2p 电路板的 JESD51-9 规范 4. 裸片和印刷电路板的热阻, 基于 JEDEC JESD51-8 标准 板温度在封装附近的板上表面测量 5. 通过冷板方法测量裸片和外壳顶面之间的热阻 (MIL SPEC-883 Method ) 6. 基于 JEDEC JESD51-2 标准, 热特性参数表示封装顶部和结温之间的温差 引脚 LQFP 封装的热特性表 引脚 LQFP 封装的热特性 分类条件符号值单位 热阻, 结到外部环境 ( 自然对流 ) 1, 2 单层板 (1s) R θja 57 C/W 热阻, 结到外部环境 ( 自然对流 ) 1, 2 四层板 (2s2p) R θja 44 C/W 热阻, 结到外部环境 (@200 ft/min) 1, 3 单层板 (1s) R θjma 47 C/W 热阻, 结到外部环境 (@200 ft/min) 1, 3 四层板 (2s2p) R θjma 38 C/W 热阻, 结到板 4 R θjb 30 C/W 热阻, 结到外壳 5 R θjc 14 C/W 热阻, 结到封装顶部 6 自然对流 ψ JT 2 C/W 1. 结温是裸片大小 片上功耗 封装热阻 安装环境 ( 板 ) 温度 环境温度 气流 板上其他组件的功耗和板热阻的函数 2. 基于 JEDEC JESD51-2 标准, 在水平方向电路板上自然对流 电路板分别符合 1s 或 2s2p 电路板的 JESD51-9 规范 3. 基于 JEDEC JESD51-6 标准, 在水平方向电路板上强制对流 电路板分别符合 1s 或 2s2p 电路板的 JESD51-9 规范 4. 裸片和印刷电路板的热阻, 基于 JEDEC JESD51-8 标准 板温度在封装附近的板上表面测量 5. 通过冷板方法测量裸片和外壳顶面之间的热阻 (MIL SPEC-883 Method ) 6. 基于 JEDEC JESD51-2 标准, 热特性参数表示封装顶部和结温之间的温差 关于最高结温规格的一般说明 芯片结温 T J 可通过以下公式估算 : T J = T A + (R θja P D ) 其中 : T A = 封装环境温度 ( C) R θja = 结到环境热阻 ( C/W) P D = 封装中的功率耗散 (W) 结到环境热阻为工业标准值, 这为估算热性能提供了快速 简便的方法 但是常用的有两个值 : 单层板上测定的测定值和双面板上所得的值 对于如 PBGA 之类的封装, 这些值可能相差 2 倍 哪个值更接近应用取决于板上其他元件的功率耗散 单层板上所得的值适用于紧凑型 PCB 板 具有内层的板上所得值通常适用于板上功率耗散较低且元件间隔良好的情况 当使用热沉时, 热阻表示为以下公式, 即结到外壳热阻与外壳到环境热阻之和 : R θja = R θjc + R θca KE1xF Microcontroller, Rev 2, 09/

58 电气特性 其中 : R θja = 结到环境热阻 ( C/W) R θjc = 结到外壳热阻 ( C/W) R θja = 外壳到环境热阻 ( C/W) R θjc 与器件相关, 用户不能更改 用户可通过控制热环境来改变外壳到环境的热阻 R θca 例如, 用户可以改变热沉的尺寸 器件周围的气流 接口材料 PCB 板上的安装布置, 或改变器件周围 PCB 板上的热耗散 为了确定器件在无热沉应用中的结温, 可以使用热特征参数 (Ψ JT ) 结合封装外壳顶部中心温度的测量值来确定结温, 公式如下 : T J = T T + (Ψ JT P D ) 其中 : T T = 封装顶部的热电偶温度 ( C) Ψ JT = 热特征参数 ( C/W) P D = 封装中的功率耗散 (W) 热特征参数根据 JESD51-2 规范进行测量, 使用 40 号线规 (40 gauge) T 型热电偶, 用环氧树脂粘于封装外壳的顶部中心 放置热电偶时应使热电偶结靠在封装上 在热电偶结上使用少量环氧树脂, 并从结上引出大约 1 mm 的走线 热电偶走线平放在封装外壳上, 以避免由热电偶走线的冷却效果带来的测量误差 5.4 外设工作要求与特性 系统模块 对于器件的系统模块, 无规格要求 时钟接口模块 振荡器电气规格 外部振荡器电气规格 58 KE1xF Microcontroller, Rev 2, 09/2016

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

Freescale Semiconductor

Freescale Semiconductor Freescale Semiconductor, Inc. Document Number: KS22PB 产品简介 Rev. 2, 03/2016 KS22 产品简介 支持 128 KB 至 256 KB Flash 和 64 KB SRAM 的 120 MHz 器件 1. KS22 概述 KS22 MCU 构建于 ARM Cortex -M4 处理器上, 具有低功耗和高存储器密度, 提供多种封装

More information

KS22/KS20 Microcontroller

KS22/KS20 Microcontroller NXP Semiconductors KS22P100M120SF0 数据手册 : 技术数据 Rev 3, 04/2016 KS22/KS20 Microcontroller 120 MHz ARM Cortex -M4, 具有高达 256 KB Flash KS2x 产品系列基于 ARM Cortex -M4 处理器构建, 具有更低的功耗和更高的存储密度, 提供多种封装 该器件可通过集成的单精度浮点单元

More information

Kinetis KL1x – 通用超低功耗MCU

Kinetis KL1x – 通用超低功耗MCU Freescale Semiconductor Document Number: KL1XPB 产品简介 Rev 0, 03/2015 Kinetis KL1x 通用超低功耗 MCU 最高 256 KB Flash 和 32 KB SRAM 1 Kinetis L 系列简介 Kinetis L 系列微控制器 (MCU) 的低功耗性能出类拔萃, 既具有新型 ARM Cortex -M0+ 处理器的卓越能效和易用性,

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Kinetis 微控制器

Kinetis 微控制器 KL7P64M48SF 数据手册 : 技术数据 Rev 5, 04/05 Kinetis KL7 微控制器 48 MHz ARM Cortex -M0+ 和 3/64 KB Flash MKL7Z3Vxx4(R) MKL7Z64Vxx4(R) KL7 系列针对低功耗 USB 连接的要求, 对成本和电池供电应用方面做了优化 该产品具有以下特性 : USB 全速.0 器件, 无需外部晶振 带引导加载程序的嵌入式

More information

子系列数据手册

子系列数据手册 Freescale Semiconductor Document Number MKE06P80M48SF0 数据手册 : 技术数据 Rev 3, 5/04 KE06 子系列数据手册支持以下产品 :MKE06Z64VLD4(R) MKE06Z8VLD4(R) MKE06Z64VQH4(R) MKE06Z8VQH4(R) MKE06Z64VLH4(R) MKE06Z8VLH4(R) MKE06Z64VLK4(R)

More information

Kinetis KL02 32 KB Flash

Kinetis KL02 32 KB Flash KL02P32M48SF0 数据手册 : 技术数据 Rev 4 08/2014 Kinetis KL02 32 KB Flash 基于 48 MHz Cortex-M0+ 的微控制器 设计时充分考虑效率 具有高集成度 超小型封装 高能效 ARM Cortex-M0+ 32 位性能 继承了 Kinetis 系列丰富的功能和可扩展性 该产品具有以下特性 : 在极低功耗运行模式下, 运行功耗低至 36 μa/mhz

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Kinetis L系列功耗管理

Kinetis L系列功耗管理 Freescale Semiconductor Document Number: AN5088 应用笔记 Rev 0, 3/2015 Kinetis L 系列功耗管理如何使用 Kinetis L 系列低功耗模式 1 简介 Kinetis L 微控制器系列为对功耗敏感型市场提供超低功耗特性 在该 MCU 系列中实现了多种低功耗模式以满足这一需求 本应用笔记向用户展示了每种功耗模式的详细信息, 并在 SDK

More information

PowerPoint template - Guidelines

PowerPoint template - Guidelines STM32F7 介绍 STMCU Team 内容 2 STM32 F7 系列总览 STM32F7 新特性 系统架构 boot 模式 RCC, DMA, SYSCFG, PWR FMC 四线 SPI(QSPI) SPDIF-Rx 低功耗定时器 HDMI-CEC 从 STM32F4 系列到 STM32F7 系列的移植 3 STM32 F7 系列 第一个进入市场的基于 ARM Cortex -M7 的 32

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

系列产品简介

系列产品简介 Freescale Semiconductor Document Number:K60PB Product Brief Rev 8, 5/2011 K60 系列产品简介支持所有 K60 器件 1 Kinetis 产品组合 Kinetis 是业界扩展能力最强的低功耗混合信号 ARM Cortex -M4 MCU 产品组合 该产品组合的第一阶段包括 5 个 MCU 系列, 提供 200 多款引脚 外设和软件兼容的器件

More information

子系列数据手册

子系列数据手册 Freescale Semiconductor Document Number S9KEA28P80M48SF0 数据手册 : 技术数据 Rev 4, 09/204 KEA28 子系列数据手册支持以下产品 :S9KEAZ64AMLK(R) S9KEAZ28AMLK(R) S9KEAZ64AVLK(R) S9KEAZ28AVLK(R) S9KEAZ64ACLK(R) S9KEAZ28ACLK(R) S9KEAZ64AMLH(R)

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Kinetis SDK K64 Users Guide

Kinetis SDK K64 Users Guide Freescale Semiconductor, Inc. K64 MAPS 套 件 用 户 指 南 版 本 1.0.0, 12/2014 K64 MAPS 套 件 硬 件 用 户 指 南 目 录 1 引 言... 2 2 概 述... 2 2.1 硬 件 平 台... 2 2.2 MAPS-K64 简 介... 2 2.2.1 MAPS-K64 主 要 功 能 模 块... 3 2.2.2 MAPS-K64

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

Data Sheet ARKS16F518N 读卡核心模块 DS V0.90 Date: 2018/1/24 产品数据手册 概述 ARKS16F518N 是广州周立功单片机科技有限公司开发的一款基于 FM17510 和 KS16 的读卡二次开发模块, 支持 8 路天线接口分时复用,

Data Sheet ARKS16F518N 读卡核心模块 DS V0.90 Date: 2018/1/24 产品数据手册 概述 ARKS16F518N 是广州周立功单片机科技有限公司开发的一款基于 FM17510 和 KS16 的读卡二次开发模块, 支持 8 路天线接口分时复用, Data Sheet DS01010101 V0.90 Date: 2018/1/24 概述 是开发的一款基于 FM17510 和 KS16 的读卡二次开发模块, 支持 8 路天线接口分时复用, 是简单 快捷 高效的读卡开发方案 产品特性 宽工作电压 2.5V~3.6V; 支持 ISO/IEC 14443 TypeA 读写器模式 ; 支持低功耗外部卡片侦测功能 ; ISO14443 TYPEA 支持通讯速率

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

《手机维修原理及维修实例详解》目录

《手机维修原理及维修实例详解》目录 1 IC MP3 MP4 2 CPU MP3 MP4 13M 1 LCD 2 13MHz 3 CONTACT SERVICE T SIM MP3 MP4 2 4 FLASH ROM EEPROM RAM SIM CPU CPU RST CPU FLASH Flash CE CS Flash DE WE RST Flash Flash FLASH EEPROM Phone Locked CON-TACTSERVICE

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

目 录

目      录 61 61 61 61 2004-2-23 Sunplus University Program http://www.unsp.com.cn E-mail:unsp@sunplus.com.cn 1 61 1... 5 1.1... 5 1.2 61... 5 2... 8 2.1... 8 2.2... 8 2.2.1... 8 2.2.2... 10 2.3... 10 2.3.1... 10

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

Microsoft PowerPoint - Webinar-STM32F3系列新.pptx

Microsoft PowerPoint - Webinar-STM32F3系列新.pptx STM32 F3 系列混合信号 & 数字信号处理器 - July 2013 - STM32 Marketing MCU 平台 精而不简 2 Flash (bytes) 2 M High-performance & Ultra-low-power low 1 M 32-bit core 128 K 16 K 2 K Standard voltage & Ultra-low-power STM8S Mainstream

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

USER’S MANUAL SP500 SERIES

USER’S MANUAL SP500 SERIES 1.... 1 2.... 2 2-1... 2 2-2... 3 2-3... 3 2-4... 3 3.... 4 4.... 6 4-1... 6 4-2... 7 4-3... 8 4-4... 9 4-5... 9 4-6... 10 4-7... 11 5.... 12 5-1... 12 5-2... 15 5-3... 18 5-4... 19 6.... 20 6-1... 20

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 1.8 至 0MHz 频率范围内的各类窄 宽带功放 为适应高 VSWR 应用的增强型鲁棒性设计 最高工作 VDD 可达 50V 适用于 28V 至 50V 范围内供电电压, 方便不同功率等级功放设计 优异的功率线性度 优异的热稳定性 内部集成的增强 ESD 设计 符合 RoHS 规范 HTH7G06P500H 封装 :H2110S-4L

More information

在Kinetis上使用DMA进行脉冲计数

在Kinetis上使用DMA进行脉冲计数 Freescale Semiconductor Document Number: AN5083 应用笔记 Rev 0, 01/2015 在 Kinetis 上使用 DMA 进行脉冲计数 1 简介 本应用笔记阐述了如何使用 DMA 和通用 IO 模块进行脉冲计数 许多 Kinetis 系列微控制器具有低功耗定时器 (LPT) 和 FlexTimer, 可用于脉冲输入捕捉 然而, 当应用程序需要对多个脉冲输入进行计数时,

More information

QIANZHENYU 2

QIANZHENYU 2 2009-8-7 QIANZHENYU 1 . 1.1 2001 2001 12 3 2009-8-7 QIANZHENYU 2 9 19 132 19 2009-8-7 QIANZHENYU 3 1.2 GB/T4765-1995 2009-8-7 QIANZHENYU 4 1.3 2009-8-7 QIANZHENYU 5 . 2.1 Electromagnetic Environment 2.2

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

FM3318产品手册

FM3318产品手册 FM33A0xx 低功耗 MCU 芯片 简单 2017. 10 FM33A0xx 低功耗 MCU 芯片 版本 3.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

SeTAQ 是 山 东 西 泰 克 仪 器 有 限 公 司 的 注 册 商 标 本 说 明 书 未 经 书 面 许 可 不 得 翻 印 修 改 或 引 用 警 告 : 请 专 业 人 员 检 测 和 维 修 本 设 备! 警 告 : 本 仪 表 使 用 24V 直 流 电 源, 请 务 必 正 确

SeTAQ 是 山 东 西 泰 克 仪 器 有 限 公 司 的 注 册 商 标 本 说 明 书 未 经 书 面 许 可 不 得 翻 印 修 改 或 引 用 警 告 : 请 专 业 人 员 检 测 和 维 修 本 设 备! 警 告 : 本 仪 表 使 用 24V 直 流 电 源, 请 务 必 正 确 SeTAQ R HMCA 系 列 高 速 多 通 道 数 字 称 重 接 线 盒 使 用 说 明 书 山 东 西 泰 克 仪 器 有 限 公 司 山 东 济 南 高 新 区 天 辰 大 街 1251 号 www.setaq.com setaq@setaq.com V1..2 SeTAQ 是 山 东 西 泰 克 仪 器 有 限 公 司 的 注 册 商 标 本 说 明 书 未 经 书 面 许 可 不 得

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO 4K / I/O 4 SH6610C 4 OTPROM 4K X 16 SH69P25 MASK ROM 4K X 16 SH69K25 RAM 192 X 4-32 - 160 2.4V - 5.5V 5.0V 22 I/O 4 8 / PORTA-PORTF / - Timer0 - PORTB & PORTC / - 32.768kHz 4MHz - 400kHz 4MHz - RC 400kHz

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information