深圳市天工测控技术有限公司 高精度导航定位模块规格书 Module No.: SKG12XR SKG12XR BDS/GPS 双系统厘米级导航定位模块规格书 文档信息 标题 文档类型 文档编号 BDS/GPS 双系统厘米级导航定位模块规格书 规格书 SL 版本日期 V

Size: px
Start display at page:

Download "深圳市天工测控技术有限公司 高精度导航定位模块规格书 Module No.: SKG12XR SKG12XR BDS/GPS 双系统厘米级导航定位模块规格书 文档信息 标题 文档类型 文档编号 BDS/GPS 双系统厘米级导航定位模块规格书 规格书 SL 版本日期 V"

Transcription

1 SKG12XR BDS/GPS 双系统厘米级导航定位模块规格书 文档信息 标题 文档类型 文档编号 BDS/GPS 双系统厘米级导航定位模块规格书 规格书 SL 版本日期 V Nov-2018 秘密等级 公开 1 / 16 SKG12XR-DA-001,A/1

2 此文档适用于以下产品 : 产品名称产品型号产品状态 SKG12TR SKG12XR(09349) 量产 SKYLAB 保留本文档及本文档所包含的信息的所有权利 SKYLAB 拥有本文档所述的产品 名称 标识和设计的全 部知识产权 严禁没有征得 SKYLAB 的许可的情况下复制 使用 修改或向第三方披露本文档的全部或部分内容 SKYLAB 对本文档所包含的信息的使用不承担任何责任 没有明示或暗示的保证, 包括但不限于关于信息的准确性 正确性 可靠性和适用性 SKYLAB 可以随时修订这个文档 可以访问 获得最新的文件 Copyright 2018, SKYLAB 是在中国的注册商标 2 / 16 SKG12XR-DA-001,A/1

3 目录 1 产品简介 典型应用 产品特点 性能参数 模块框图 PIN 脚定义 电气特性 电源 运行条件 传输及外设接口 PPS I2C UART GPIO EXTINT SPI 默认配置 串口设置 (CFGPRT) 消息配置 卫星系统设置 (CFGSYS) 导航系统设置 (CFGNAV) NMEA 输出设置 (CFGNMEA) 差分数据 机械尺寸 贴片建议 版本历史 联系方式 / 16 SKG12XR-DA-001,A/1

4 1 产品简介 SKG12XR 是一款 BDS/GPS 双系统厘米级导航定位模块, 能够同时支持 BDS B1 GPS L1 两个频点, 内置 RTK 算法, 具有高灵敏度 抗干扰 高性能等特点 外形尺寸紧凑, 兼容市场上国际主流导航定位模块, 采用 SMD 焊盘, 支持标准取放及回流焊接 2 典型应用 图 1:SKG12XR 正视图 主要用于高精度位置信息服务, 尤其是对精度要求比较高的特定行业, 如 : 智能交通汽车无人驾驶 精准农业农机无人驾驶 无人机应用 机器人割草机应用 共享单车监管应用等产品 3 产品特点 支持 BDS/GPS 系统联合定位 ; Smart Suppress TM 高灵敏度设计 ; 抗干扰技术 ; 低信号强度快速捕获 ; 支持 RTK, 厘米级定位精度 ; 支持原始观测量输出 支持基准站模式 16mm 12mm 的 SMD 表面贴封装尺寸 ; 工业级标准 4 / 16 SKG12XR-DA-001,A/1

5 4 性能参数 参数描述性能指标 电压 3.0~3.6V 频率 BDS B1,GPS L1 射频输入 驻波比 1.5 输入阻抗 50Ω 天线增益 20~45dB 物理尺寸 16.0*12.2*2.4( 单位 :mm) 数据接口 2 个 UART, LVTTL 电平. 波特率 9600~230400bps 可调, 默认 天线检测 可支持天线馈电, 需外置天线检测电路 表 4-1 基本参数 参数描述性能指标 定位精度 RMS 测速精度 RMS 1 2 单点 :2.5m RTK:0.025m+1ppm GPS/GPS+BDS 双模 : 0.1m/s BDS: 0.2m/s 首次定位时间 TTFF 3 冷启动 热启动 重捕获 冷启动 : 32s 1s 1s 4 灵敏度 BDS&GPS 模式 跟踪 / 捕获 -160dBm/-145dBm 动态性能速度 515m/s 5 / 16 SKG12XR-DA-001,A/1

6 加速度 4g PPS 数据更新率 支持 1Hz 导航数据格式 NMEA 0183( 兼容北斗 ) 表 4-2 GNSS 性能指标 [1] 测试条件 :CEP,50%, 卫星数大于 6 颗,24 小时静态定位, 所有卫星信号强度不低于 -130dB [2] 测试条件 :50%@30m/s [3] 测试条件 : 可用卫星数大于 6 颗, 所有卫星信号强度不低于 -130dBm [4] 使用满足条件的高精度天 6 / 16 SKG12XR-DA-001,A/1

7 5 模块框图 PPS UART0 PPS UART1 LNA RFE LNA BaseBand Track Engine SPI0 26M TCXO APLL Acquire Engine I2C GPIO 32K crystal Real Time Clock CPU PMU WatchDog Timer*2 RAM/ROM 图 5-1 模块结构图 6 PIN 脚定义 图 6-1 SKG12XR 引脚定义 7 / 16 SKG12XR-DA-001,A/1

8 编号. 管脚名称 I/O 描述备注 1 RST_N I 外部复位信号, 低电平有效 2 GPIO1 I 通用 IO1 3 PPS O 秒脉冲输出不用则悬空 4 EXTINT1 I 外部中断 外部中断信号 ( 不 用, 则悬空 ) 5 GPIO2 I/O 通用 IO2 6 TXD1 O UART1, 数据发送信号, FW update 7 RXD1 I UART1, 数据接收信号, FW update 8 RSV I --- 保留管脚 ( 悬空 ) 9 VCC_RF O 天线馈电电源 10 GND G 电源地 11 RF_IN I 射频信号输入 输入端需要做 50 Ω 阻抗匹配 12 GND G 电源地 13 GND G 电源地 14 SPI_SDO O SPI 串行数据输出信号 ( 不用, 则悬空 ) 15 SPI_SDI I SPI 串行数据输入信号 ( 不用, 则悬空 ) 16 SPI_SCK O SPI 串行时钟信号 ( 不用, 则悬空 ) 17 SPI_SCK O SPI 片选信号 ( 不用, 则悬空 ) 18 I2C_SDA I/O I2C 地址数据信号 ( 不用, 则悬空 ) 19 I2C_SCL I/O I2C 时钟信号 ( 不用, 则悬空 ) 20 TX0 O UART0, 数据发送信号, FW update 21 RX0 I UART0, 数据接收信号, FW update 22 VRTC I RTC 电源 ( 不使用热启动功能时, 可悬空 ) 2.0V~3.6V 8 / 16 SKG12XR-DA-001,A/1

9 23 VCC P 3.3V±10% 24 GND G 电源地 7 电气特性 7.1 电源 表 6-2 引脚定义 参数符号最小值最大值单位条件 供电电压 (VCC) Vcc V -- VCC 最大纹波 Vrpp 0 50 mv -- 输入管脚电压 Vin -0.5 Vcc +0.2 V -- 存储温度 Tstg ESD VESD(HBM) V All pins 表 7-1 电源特性 7.2 运行条件 参数符号最小值典型值最大值单位条件 供电电压 (VCC) Vcc V -- RTC 供电电压 (VRTC) Vrtc V -- 峰值电流 Iccp ma Vcc = 3.3 V 输入管脚低电平 Vin_low *Vcc V -- 输入管脚高电平 Vin_high 0.7*Vcc V -- 输出管脚低电平 Vout_low V Iout = 8 ma 输出管脚高电平 Vout_high Vcc V Iout = 8 ma 天线增益 Gant db -- 9 / 16 SKG12XR-DA-001,A/1

10 接收机链路噪声系数 NFtot db -- 运行温度 Topr 工作温度 存储温度 传输及外设接口 表 7-2 运行条件 8.1 PPS 秒脉冲 (PPS):SKG12XR 提供 1 个输出脉宽和极性可调的 PPS 信号 PPS 信号可为外部系统提供授时功能, 脉冲边缘触发方式以及宽度可调, 默认情况下每秒输出一个脉冲 8.2 I2C I2C 接口用于串行数据传输, 可以工作在 Master/Slave 模式, 总线最高速率 400Kbps 8.3 UART SKG12XR 模块有两组串口, 分别为 UART0 与 UART1 支持数据传输 固件升级功能, 输入 / 输出信号类型为 LVTTL 电平 默认波特率为 bps, 最高可设为 bps, 串口波特率均可由用户自行配置 设计产品时请确保 UART0 或 UART1 连接 PC 或外部处理器, 用于固件升 8.4 GPIO SKG12XR 预留 2 个通用 GPIO 接口, 可由用户灵活配置 8.5 EXTINT SKG12XR 提供 1 个外部中断信号 (External Interrupt) 输入管脚 如果不使用, 该信号可以悬空 8.6 SPI SKG12XR 预留 1 个 SPI 接口, 可以工作在 Master/Slave 模式, 支持 DMA 10 / 16 SKG12XR-DA-001,A/1

11 9. 默认配置 9.1 串口设置 (CFGPRT) 串口号 参数名 默认配置 说明 串口 0 波特率 输入协议指示 15 MXT/RTCM 协议 输出协议指示 15 MXT/RTCM 协议 串口 1 波特率 输入协议指示 15 MXT/RTCM 协议 输出协议指示 15 MXT/RTCM 协议 9-1 串口配置 消息类型参数名默认配置说明 NMEA 消息 RMC 1 1Hz 输出 VTG 1 1Hz 输出 GGA 1 1Hz 输出 GSA 1 1Hz 输出 GSV 1 1Hz 输出 GLL 1 1Hz 输出 ZDA 0 关闭 GST 0 关闭 9.2 消息配置 9-2 消息配置 11 / 16 SKG12XR-DA-001,A/1

12 9.3 卫星系统设置 (CFGSYS) 导航类型默认配置说明 NavSys 3 GPS 导航系统 + BDS 导航系统 9.4 导航系统设置 (CFGNAV) 9-3 卫星设置 参数名默认配置说明 NavRate ms 定位频度 minelev 10 卫星截止角 10 度 9-4 导航设置 9.5 NMEA 输出设置 (CFGNMEA) 参数名 默认配置 说明 nmeaver h40 默认输出 NMEA4.0 扩展协议版本 9-5 NMEA 输出设置 12 / 16 SKG12XR-DA-001,A/1

13 10 差分数据 SKG12XR 支持 RTD/RTK 定位, 差分数据可通过 RTCM3.x 或 RTCM2.x 协议发送给芯片 RTCM3.x 协议支持的消息类型有 1005/1006( 基准站坐标 ) 1074(GPS 观测量, 需包含 L1 频点 ) 1124(BDS 观测量, 需包含 B1 频点 ) RTCM2.x 协议差分数据支持的消息类型有 Type1(GPS 伪距改正数 ) Type41(GPS 伪距改正数及 BDS 伪距改正数 ) 差分算法有距离要求及环境影响, 模块位置同差分源位置小于 20KM 且周围环境较开阔, 可进行 RTK 高精度定位 SKG12XR 在恶劣环境下 ( 如遮挡严重的高架桥或高楼 ), 环境因素为主要误差源, 差分定位精度与单点定位相当 11 机械尺寸 图 11-1 外形尺寸 13 / 16 SKG12XR-DA-001,A/1

14 参数数值 (mm) 参数数值 (mm) A 16.0±0.2 G 1.0±0.1 B 12.2±0.1 H 0.82±0.1 C 2.4±0.2 K( 邮票孔外沿 ) 0.8±0.1 D 1.0±0.1 N( 邮票孔内沿 ) 0.5±0.1 E 1.1±0.1 M 0.9±0.1 F 3.0±0.1 表 11-1 外形尺寸 图 11-2 参考封装尺寸 14 / 16 SKG12XR-DA-001,A/1

15 12 贴片建议 图 12-1 SKG12XR 推荐炉温曲线 13 版本历史 版本号版本描述制定发布日期 V1.01 初始版本 Benson / 16 SKG12XR-DA-001,A/1

16 14 联系方式 Skylab M&C Technology Co., Ltd. 地址 : 深圳市龙华区龙华街道工业东路利金城科技工业园 9# 厂房 6 楼电话 : (Sales Support) 电话 : (Technical Spport) 传真 : 邮箱 : technicalsupport@skylab.com.cn 网站 : / 16 SKG12XR-DA-001,A/1

目录 1. 产品简介 典型应用 产品特点 性能指标 安装说明 PIN 脚功能描述 PIN 脚示意图 PIN 脚定义 电气特性 绝对最大值 运行条件..

目录 1. 产品简介 典型应用 产品特点 性能指标 安装说明 PIN 脚功能描述 PIN 脚示意图 PIN 脚定义 电气特性 绝对最大值 运行条件.. 组合导航模块 数据手册 产品名称 : 组合导航模块 产品型号 : 历史版本 版本描述制定日期 V1.01 初始版本 Benson 20171017 1 / 17-001,A/1 目录 1. 产品简介...2 2. 典型应用...2 3. 产品特点...2 4. 性能指标...2 5. 安装说明...3 6. PIN 脚功能描述... 4 6.1PIN 脚示意图...4 6.2 PIN 脚定义...

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

公司介绍, 是一家专注于全球卫星定位系统 (GNSS) 高精度导航定位以及运动测量芯片解决方案的高科技创新企业 公司自主研发的 MEMS 芯片模块组系列产品是 GNSS 导航定位及运动测量的核心基础构件 其自主性 小型化 低成本 低功耗 多频点 高精度 多系统互操作 嵌入式可编程作为公司的核心技术,

公司介绍, 是一家专注于全球卫星定位系统 (GNSS) 高精度导航定位以及运动测量芯片解决方案的高科技创新企业 公司自主研发的 MEMS 芯片模块组系列产品是 GNSS 导航定位及运动测量的核心基础构件 其自主性 小型化 低成本 低功耗 多频点 高精度 多系统互操作 嵌入式可编程作为公司的核心技术, 高精度卫星定位导航及 运动测量模块解决方案 公司介绍, 是一家专注于全球卫星定位系统 (GNSS) 高精度导航定位以及运动测量芯片解决方案的高科技创新企业 公司自主研发的 MEMS 芯片模块组系列产品是 GNSS 导航定位及运动测量的核心基础构件 其自主性 小型化 低成本 低功耗 多频点 高精度 多系统互操作 嵌入式可编程作为公司的核心技术, 广泛应用于车前后装 车联网 物联网 共享单车 ( 汽车

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

修订订记录 版本本号 Ver Ver. 1.4 Ver.1.3 Ver. 1.1 Ver. 1.0 修订记记录补充拆拆卸模块说明补充 ESD 等级及 RoHS 符合性补充 NV/NL Order Number 增加第第六章包装说明 和芯芯星通 UM220-III N 用户手册册 第一版

修订订记录 版本本号 Ver Ver. 1.4 Ver.1.3 Ver. 1.1 Ver. 1.0 修订记记录补充拆拆卸模块说明补充 ESD 等级及 RoHS 符合性补充 NV/NL Order Number 增加第第六章包装说明 和芯芯星通 UM220-III N 用户手册册 第一版 INSTALLATION AND OPERATION USER MANUAL WWW.UNICORECOMM.COM UM220-III N BDS/GPS Dual-System Navigation/Positioning Module Copyright 2009-2015, Unicore Communications, Inc. Data subject to change without

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

Microsoft Word - BH-0606_datasheet.doc

Microsoft Word - BH-0606_datasheet.doc Product name Description Version BH-0606 Datasheet of BH-0606 host-based GPS and GLONASS module 0.92 1 Introduction LOCOSYS BH-0606 is a host-based GPS and GLONASS module that features high sensitivity,

More information

Microsoft Word - LS2303x_datasheet_v1.1.doc

Microsoft Word - LS2303x_datasheet_v1.1.doc Product name Description Version LS23030 LS23032 LS23033 LS23035 LS23036 GPS mouse/ MTK3329,2m,USB,VCP GPS mouse/ MTK3329,2m,PS2,9600BPS GPS mouse/ MTK3329,3m,RJ11,9600BPS GPS mouse/ MTK3329,5m,PS2 with

More information

UM168 Module

UM168 Module UM4B0 全系统全频点高精度 RTK 定位模块 修订记录 修订版 修订记录 日期 V0.6 初稿 2017 年 03 月 V0.7 修订 FRESET_N 描述 2017 年 08 月 V2.0 添加 PVT 信号输出 生产要求, 修订常用指令 2018 年 11 月 免责声明本手册提供有关和芯星通科技 ( 北京 ) 有限公司产品的信息 本文档并未以暗示 禁止反言或其他形式转让本公司或任何第三方的专利

More information

Microsoft Word - LS20030~3_datasheet_v1.1beta1.doc

Microsoft Word - LS20030~3_datasheet_v1.1beta1.doc Product name Description Version LS20030 LS20032 GPS smart antenna module/usb,vcp,30x30mm GPS smart antenna module/ttl,9600bps,30x30mm GPS smart antenna module/rs232,9600bps,30x30mm GPS smart antenna module/ttl,9600bps,35x16mm

More information

UNICORECOMM 和芯星通 CONTENTS 目录 03 技术优势 7 汽车及行业应用案例 UMA Hybrid Positioning Platform UMA TM 融合定位平台 / 03 车载前装导航仪 / 8 车载监控 / 9 精密授时 / 0 04 产品概况 消费类应用案例 和芯星通

UNICORECOMM 和芯星通 CONTENTS 目录 03 技术优势 7 汽车及行业应用案例 UMA Hybrid Positioning Platform UMA TM 融合定位平台 / 03 车载前装导航仪 / 8 车载监控 / 9 精密授时 / 0 04 产品概况 消费类应用案例 和芯星通 IN-DASH VEHICLE NAVIGATION TABLET PC MOBILE PHONE NAVIGATION PRODUCT BROCHURE Safety and Recreation Locator A T T F E W +86-0-69939800 +86-0-6993988 +86-0-69939888 info@unicorecomm.com www.unicorecomm.com

More information

Microsoft Word - ATGM332D-5Lå“«æŸ�导苪模嚊çfl¨æ‹·æ›‰åƒ„.docx

Microsoft Word - ATGM332D-5Lå“«æŸ�导苪模嚊çfl¨æ‹·æ›‰åƒ„.docx BDS/GNSS 全星座定位导航模块 ATGM332D-5L 用户手册 杭州中科微电子有限公司杭州市滨江区江南大道 3850 号创新大厦 10 楼电话 :0571-28918107 传真 :0571-28918122 网站 :http://www.icofchina.com 版本更新历史 版本日期更新内容 1.0 2017/11/23 初稿 1.1 2018/01/05 文本完善 杭州中科微电子有限公司

More information

<B5BCBABDB2FAC6B7B7E2C3E D32392E6169>

<B5BCBABDB2FAC6B7B7E2C3E D32392E6169> IN-DASH VEHICLE NAVIGATION TABLET PC MOBILE PHONE NAVIGATION PRODUCT BROCHURE Safety and Recreation Locator A T T F E W +86-0-69939800 +86-0-6993988 +86-0-69939888 info@unicorecomm.com www.unicorecomm.com

More information

Microsoft Word - ST-1612_datasheet_V0.5.doc

Microsoft Word - ST-1612_datasheet_V0.5.doc Product name Description Version ST-1612 Datasheet of ST-1612 GPS module 0.5 1 Introduction LOCOSYS ST-1612 is a GPS and GLONASS module that features high sensitivity, faster location fix. This GPS module

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Microsoft Word - CNT-OEM-PS001, K500 K501 K501G and K505 OEM Board Product Specification V2.2

Microsoft Word - CNT-OEM-PS001, K500 K501 K501G and K505 OEM Board Product Specification V2.2 Product Specification/ 产品规范 K500, K501, K501G and K505 OEM Board K500, K501, K501G, K505 OEM 板卡 2015 07 16 ComNav Technology Ltd. i CNT OEM PS001, Rev 2.2 REVISION HISTORY/ 修订历史 REVISION/ 版本 MODIFICATION/

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

Microsoft Word - UB482_UserManual_Ch V2.0.docx

Microsoft Word - UB482_UserManual_Ch V2.0.docx UB482 紧凑型全系统多频高精度定向板卡 修订记录 修订版 修订记录 日期 V0.8 初稿 2017 年 3 月 V1.0 补订电气描述部分 2017 年 6 月 V2.0 修改网络推荐设计及常用指令 2018 年 11 月 免责声明本手册提供有关和芯星通科技 ( 北京 ) 有限公司产品的信息 本文档并未以暗示 禁止反言或其他形式转让本公司或任何第三方的专利 商标 版权或所有权或其下的任何权利或许可

More information

公 司 年 度 大 事 记 北 斗 心 系 列 产 品 成 功 上 市 北 斗 敬 老 卡, 以 其 独 特 的 产 品 优 势 获 得 中 国 卫 星 导 航 协 会 的 认 可, 并 被 列 为 国 家 百 城 百 联 百 用 行 动 计 划 重 点 推 荐 产 品 公 司 被 授 予 2015

公 司 年 度 大 事 记 北 斗 心 系 列 产 品 成 功 上 市 北 斗 敬 老 卡, 以 其 独 特 的 产 品 优 势 获 得 中 国 卫 星 导 航 协 会 的 认 可, 并 被 列 为 国 家 百 城 百 联 百 用 行 动 计 划 重 点 推 荐 产 品 公 司 被 授 予 2015 艾 倍 科 NEEQ : 831534 江 苏 艾 倍 科 科 技 股 份 有 限 公 司 (JiangSu ABK Technology Co., Ltd.) 年 度 报 告 2015 1 公 司 年 度 大 事 记 北 斗 心 系 列 产 品 成 功 上 市 北 斗 敬 老 卡, 以 其 独 特 的 产 品 优 势 获 得 中 国 卫 星 导 航 协 会 的 认 可, 并 被 列 为 国 家 百

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

BDS/GNSS 全星座定位导航模块 ATGM332D-5N 用户手册 杭州中科微电子有限公司杭州市滨江区江南大道 3850 号创新大厦 10 楼电话 : 传真 : 网站 :

BDS/GNSS 全星座定位导航模块 ATGM332D-5N 用户手册 杭州中科微电子有限公司杭州市滨江区江南大道 3850 号创新大厦 10 楼电话 : 传真 : 网站 : BDS/GNSS 全星座定位导航模块 ATGM332D-5N 用户手册 杭州中科微电子有限公司杭州市滨江区江南大道 3850 号创新大厦 10 楼电话 :0571-28918107 传真 :0571-28918122 网站 :http://www.icofchina.com 版本更新历史 版本 日期 更新内容 1.0 2015/7/01 初稿 1.1 2015/12/1 增加产品选购说明 ; 增加订单型号说明

More information

i

i 可 编 程 控 制 器 FP0 模 拟 I/0 单 元 用 户 手 册 适 用 机 种 : FP0-A21(AFP0480) FP0 模 拟 I/0 单 元 用 户 手 册 ARCT1F390C '07 年 4 月 http://www.mew.co.jp/ac/c 安 全 注 意 事 项 为 防 止 受 伤 事 故, 请 务 必 遵 守 以 下 事 项 在 安 装 运 行 维 护 保 养 以 及

More information

BDS/GNSS 全星座定位导航模块 ATGM331C-5N 用户手册 杭州中科微电子有限公司杭州市滨江区江南大道 3850 号创新大厦 10 楼电话 : 传真 : 网站 :

BDS/GNSS 全星座定位导航模块 ATGM331C-5N 用户手册 杭州中科微电子有限公司杭州市滨江区江南大道 3850 号创新大厦 10 楼电话 : 传真 : 网站 : BDS/GNSS 全星座定位导航模块 ATGM331C-5N 用户手册 杭州中科微电子有限公司杭州市滨江区江南大道 3850 号创新大厦 10 楼电话 :0571-28918107 传真 :0571-28918122 网站 :http://www.icofchina.com 版本更新历史 版本 日期 更新内容 1.0 2015/7/01 5N 系列初稿 1.1 2015/12/1 增加产品选购说明

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

0011 1...1 1.1 1.2 1.3 1.4... 1... 4... 6... 11 2...21 2.1 2.2... 21... 22 3...24 3.1 3.2 3.3... 24... 24... 25 4...26 4.1 4.2 4.3 4.4... 26... 26... 27... 29 5...30 I 5.1 5.2 5.3 5.4 5.5... 30... 30...

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

0-封面封底

0-封面封底 N a v i g a t o r 内 刊 上 海 司 南 卫 星 导 航 技 术 股 份 有 限 公 司 内 刊 2015 年 第 3 期 总 第 8 期 issue 8 跟 随 阅 兵 训 练 的 100 天 阅 兵 车 队 参 北 斗 司 南 导 航 保 精 准 北 斗 阅 兵 参 演 车 辆 方 队 训 练 考 核 系 统 项 目 背 景 介 绍 及 使 用 评 价 北 斗 高 精 度 保

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b SAM 系列射频同轴连接器 航天电器 特点简介 SMA 射频同轴连接器具有体积小 频带宽 机械电气性能优越 可靠性高等优点, 是应用最广泛的射频电连接器 广泛用于微波通讯 航天航海 武器系统及微波测量设备等领域 技术特性 温度范围 -65 ~ +165 绝缘电阻 5000MΩ 特性阻抗 50Ω 介质耐压 1000V 频率范围 配软电缆 0 ~ 12.4GHz 中心导体 0.003Ω 接触电阻配半刚

More information

GPS

GPS GVT800 用 户 手 册 版 本 管 理 表 版 本 修 改 人 更 改 内 容 日 期 备 注 V1.0 StevenLi 创 建 2014.06.23 初 始 版 本 GPS 多 功 能 车 载 定 位 跟 踪 器 用 户 手 册 型 号 :GVT800 1 目 录 1 产 品 概 述...2 2 安 全 须 知...3 3 规 格 参 数...3 4 开 始 使 用...4 4.1 标 准

More information

Microsoft Word - S4-1513_datasheet.doc

Microsoft Word - S4-1513_datasheet.doc Product name Description Version S4-1513 Datasheet of S4-1513 GPS module 1.1 1 Introduction LOCOSYS S4-1513 GPS module features high sensitivity, low power and ultra small form factor. This GPS module

More information

2012年吉林公务员考试申论真题(甲级)

2012年吉林公务员考试申论真题(甲级) 吉 林 华 图 2016 年 大 型 公 开 课 扫 一 扫 关 注 冯 老 师 公 众 号 课 程 : 申 论 公 开 课 科 目 : 申 论 授 课 教 师 : 冯 冠 2014 年 吉 林 省 公 务 员 录 用 考 试 申 论 试 卷 ( 甲 级 ) 一 注 意 事 项 1. 申 论 考 试 是 对 应 考 者 阅 读 理 解 能 力 综 合 分 析 能 力 提 出 和 解 决 问 题 能

More information

陕 西 蓝 皮 书 社 会 调 查 问 卷 均 采 取 调 查 员 入 户 访 谈 代 填 的 调 查 方 式 调 查 共 回 收 有 效 个 人 问 卷 ( 包 括 18 ~ 64 岁 个 人 问 卷 和 65 岁 以 上 老 年 问 卷 ) 3003 份, 有 效 回 收 率 为 95. 3%

陕 西 蓝 皮 书 社 会 调 查 问 卷 均 采 取 调 查 员 入 户 访 谈 代 填 的 调 查 方 式 调 查 共 回 收 有 效 个 人 问 卷 ( 包 括 18 ~ 64 岁 个 人 问 卷 和 65 岁 以 上 老 年 问 卷 ) 3003 份, 有 效 回 收 率 为 95. 3% 陕 西 省 妇 女 社 会 地 位 调 查 报 告. 19 陕 西 省 妇 女 联 合 会 西 安 市 社 会 科 学 院 课 题 组 摘 要 : 陕 西 省 妇 女 社 会 地 位 调 查 是 10 年 (2000 ~ 2010 年 ) 一 次 的 妇 女 社 会 地 位 综 合 调 查 调 查 发 现, 10 年 间 我 省 妇 女 社 会 地 位 状 况 呈 现 许 多 积 极 变 化 女 性

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

Microsoft PowerPoint - ............2003

Microsoft PowerPoint - ............2003 手 机 设 计 概 述 ( 一 ) 目 录 数 字 手 机 原 理 框 图 手 机 供 电 系 统 框 图 GSM 手 机 设 计 CDMA 手 机 设 计 手 机 功 率 放 大 器 设 计 手 机 电 路 组 成 Base-Band Subsystem (Digital) RF Subsystem (Analog) Antenna 占 1/3 占 2/3 数 字 手 机 原 理 框 图 手 机

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

Microsoft Word - MC R_datasheet.doc

Microsoft Word - MC R_datasheet.doc Product name Description Version MC-1613-2R Datasheet of MC-1613-2R standalone GPS module 1.1 1 Introduction LOCOSYS GPS MC-1613-2R module features high sensitivity, low power and ultra small form factor.

More information

Microsoft Word - MC-1613_datasheet_v1.x.doc

Microsoft Word - MC-1613_datasheet_v1.x.doc Product name Description Version MC-1613 Datasheet of MC-1613 stand-alone GPS module 1.3 1 Introduction LOCOSYS GPS MC-1613 module features high sensitivity, low power and ultra small form factor. This

More information

V2平台接口

V2平台接口 文档名称 文件编号 DL-YJ-20150516-001 版本号 1.1.2 编制 : 孙标审核 : 批准 : 编制时间 :2015/7/16 审核时间 : 批准时间 : 物联网 WIFI 基础模块 DL2103A-V1.1 用户手册 杭州德澜科技有限公司 1 / 17 版本历史 版本号修订日期修订人参与者修订内容备注 1.0.0 2015/1/20 孙标创建 1.0.1 2015/4/22 孙标修改引脚图

More information

南京多伦科技股份有限公司

南京多伦科技股份有限公司 Nanjing Doron Technology Co., Ltd. ( 江 苏 省 南 京 市 江 宁 区 天 印 大 道 1555 号 ) 首 次 公 开 发 行 股 票 保 荐 人 ( 主 承 销 商 ) ( 深 圳 市 福 田 区 中 心 区 中 心 广 场 香 港 中 旅 大 厦 ) 南 京 多 伦 科 技 股 份 有 限 公 司 首 次 公 开 发 行 股 票 发 行 股 票 类 型 人

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

Contents 1. Introduction of VK2828U7G5LF Features Appearance and Size Pins Working Conditions Normal Worki

Contents 1. Introduction of VK2828U7G5LF Features Appearance and Size Pins Working Conditions Normal Worki G P S / G N S S VK1097U7M3 DataSheet Contents 1. Introduction of VK2828U7G5LF... 错误! 未定义书签 1.1 Features... 错误! 未定义书签 1.2 Appearance and Size... 错误! 未定义书签 1.3 Pins... 错误! 未定义书签 2. Working Conditions...

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

1.

1. 1. 2. SCADA SCADA SCADA GIS GPRS RTU SCADA SCADA GIS MIS ; SCADA MIS 3. MIS GIS+SCADA 1 2 3 N N1 SCADA 1. SCADA( GIS ) MIS 1 2 GPS 1 10M/100M 2 1 2 1 2 1 2 2. SCADA B/S 3. SCADA 3.1 SCADA 3.1.1 ( ) : (1)

More information

Catalogue of Polymer PTC Resettable Fuse

Catalogue of Polymer PTC Resettable Fuse 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 IA 尺寸 :0603, 0805, 1206, 1812 3. 维持电流 :0.05~3A 4. 最大工作电压 :6V ( 计算机系统使用 ) 60V ( 电子设备使用 ) 5. 占用空间小 6. 快速动作 7. 工作 & 存储温度范围 :-40~+85 8. 安规认证 :UL/cUL/TUV 用途 1. USB HDMI I1394

More information

Microsoft Word - MC-1513-G_datasheet.doc

Microsoft Word - MC-1513-G_datasheet.doc Product name Description Version MC-1513-G Standalone multiple GNSS module 0.2 1 Introduction LOCOSYS MC-1513-G is a complete standalone GNSS module. The module can simultaneously acquire and track multiple

More information

投影片 1

投影片 1 OtO Photonics 描述 CB 系列光谱仪控制电路板是由 32 bit RISC core 16 bit ADC 和低电压电路组成之控制板 系列控制电路板可透过 USB2.0 连接 OTO 计算机软件 (SpectraSmart) C 同时内建可程控的 CCD & ADC 时序产生器, 可控制不同种类的 CCD 且可高速读取 ADC 数据 CB 系列光谱仪控制电路版提供用户多种电子接口来连接其他外部设施,

More information

绝密★启用前

绝密★启用前 绝 密 启 用 前 2010 年 普 通 高 等 学 校 招 生 全 国 统 一 考 试 ( 上 海 卷 ) 地 理 试 卷 一 选 择 题 ( 共 50 分, 每 小 题 2 分 每 小 题 只 有 一 个 正 确 答 案 ) ( 一 ) 城 市, 让 生 活 更 美 好, 城 市 空 间 结 构 与 城 市 职 能 自 然 环 境 密 切 相 关 1. 不 同 的 城 市 职 能 具 有 不 同

More information

港澳四天三夜双人游行程及说明

港澳四天三夜双人游行程及说明 汽 车 (GPS) 卫 星 定 位 终 端 设 备 数 据 维 护 手 册 V1.0 A24 型 ( 车 载 DVD 升 级 车 联 网 套 件 ) 佛 山 市 阿 普 思 通 讯 技 术 有 限 公 司 专 注 车 联 网 及 汽 车 微 电 子 - 1 - 更 新 列 表 日 期 版 本 号 内 容 负 责 人 备 注 2013.10.6 V1.0 建 档 - 2 - 安 装 和 使 用 之 前,

More information

目录 1. 产品介绍 概述 特征 产品框图 外观图 特性 管脚图 电气特性 硬件设计注意事项 应用框图 GPIO 介绍 LED 控制... 2.

目录 1. 产品介绍 概述 特征 产品框图 外观图 特性 管脚图 电气特性 硬件设计注意事项 应用框图 GPIO 介绍 LED 控制... 2. 产品规格书 串口 WiFi 模块 LCX801 (2.4GHz/150Mbps 802.11 b/g/n) 目录 1. 产品介绍... 1.1 概述... 1.2 特征... 1.3 产品框图... 1.4 外观图... 1.5 特性... 1.6 管脚图... 1.7 电气特性... 2. 硬件设计注意事项... 2.1 应用框图... 2.2 GPIO 介绍... 2.2.1 LED 控制...

More information

5991-2288CHCN_8-14_K.indd

5991-2288CHCN_8-14_K.indd 是 德 科 技 GNSS 技 术 和 接 收 机 测 试 应 用 指 南 02 Keysight GNSS 目 录 GNSS 概 述... 3 GNSS 技 术 应 用 和 增 长 动 力... 4 GNSS 系 统 描 述... 4 GPS... 6 GPS 信 号... 6 GPS 导 航 电 文... 7 A-GPS... 8 GLONASS... 8 GLONASS 信 号... 9 GLONASS

More information

UM168 Module

UM168 Module UB351 北斗 /GPS/GLONASS 高精度 OEM 板 Version:2014/08 修订记录 修订版修订记录日期 -v1.0 首版 2014 年 07 月 -v2.3 增加 UB352 描述, 修改 winconfig 机械图和个别技术指标 2016 年 02 月 免责声明本文档提供有关和芯星通科技 ( 北京 ) 有限公司产品的信息 本文档并未以暗示 禁止反言或其他形式转让本公司或任何第三方的专利

More information

Microsoft Word - MC-1613-G_datasheet.doc

Microsoft Word - MC-1613-G_datasheet.doc Product name Description Version MC-1613-G Standalone multiple GNSS module 0.2 1 Introduction LOCOSYS MC-1613-G is a complete standalone GNSS module. The module can simultaneously acquire and track multiple

More information

TWSTFT : (GNSS ) GEO ( ) TWSTFT UTC 1ns [8] 1 (PPS) Fig.1 PPS MeasurementMethod ( ) CV : TWSTFTCV (GNSS ) GPS GLONASS 5 GEO 6 2 3~5ns [9]

TWSTFT : (GNSS ) GEO ( ) TWSTFT UTC 1ns [8] 1 (PPS) Fig.1 PPS MeasurementMethod ( ) CV : TWSTFTCV (GNSS ) GPS GLONASS 5 GEO 6 2 3~5ns [9] 39 11 2014 11 GeomaticsandInformationScienceofWuhanUniversity Vol.39No.11 Nov.2014 DOI:10.13203/j.whugis20130265 :1671-8860(2014)11-1347-05 PPS GPS-GLONASS 123 1 23 4 23 1 450001 2 710054 3 710054 4 710054

More information

Data Sheet 和芯星通 UC221 GPS/BDS 高性能 低功耗 GNSS 双模基带射频一体化芯片 Version Number [1.6] 修订记录 版本号修订记录日期 Ver.1.6 上电序列描述更新 增加复位 2015 年 2 月 时钟及频率参考更新 参考电路更新 LNA 和混频器章节内容更新 芯片标识规则更新 增加设计案例及建议 Ver.1.5 UC221 芯片引脚命名更新 2014

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

Microsoft Word - AK360 中文說明書 V1.1 _2010-05-21_ - 送ISO13485用_SGS評鑑後最終版_.doc

Microsoft Word - AK360 中文說明書 V1.1 _2010-05-21_ - 送ISO13485用_SGS評鑑後最終版_.doc 亞 星 中 頻 向 量 干 擾 儀 ASTEK INTERMEDIATE FERQUENCY INTERFERENTIAL CURRENT THERAPY 型 號 :AK-360 衛 署 醫 器 製 字 第 002777 號 使 用 前 請 務 必 詳 閱 本 使 用 說 明 書 並 遵 照 指 示 使 用 認 識 中 頻 向 量 干 擾 為 能 正 確 使 用 亞 星 中 頻 向 量 干 擾 儀

More information

:, : ,(4) ,32 21 :,,( ) : ,(2).--3 7

:, : ,(4) ,32 21 :,,( ) : ,(2).--3 7 2006 5 82 10 *****************************************************************...1 21...1...2 10...2...2...3...3...4...4...4...5...5...6...6...7...7...7...8...8...8...9...9...10...10... 11... 11...12...12...13...13

More information

UNICORECOMM 和芯星通 CONTENTS 目录 03 技术优势 13 产品概况 U-GNSS 多系统融合算法 / 03 产品选型列表 / 14 "UGypsophila 满天星 " RTK 处理技术 / 03 ULightning 超低延时处理技术 / 03 芯片 / 15 UC4C0 /

UNICORECOMM 和芯星通 CONTENTS 目录 03 技术优势 13 产品概况 U-GNSS 多系统融合算法 / 03 产品选型列表 / 14 UGypsophila 满天星  RTK 处理技术 / 03 ULightning 超低延时处理技术 / 03 芯片 / 15 UC4C0 / P RECISION AGRICULTURE SURVEYING APPLICATION HIGH PRECISION PRODUCT BROCHURE UAV APPLICATION A T T F E W +86-10-69939800 +86-10-69939828 +86-10-69939888 info@unicorecomm.com www.unicorecomm.com UNICORECOMM

More information

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf COMPUTING TÜV SÜD COTS SIL4 99.9999% IEC 61373 EN 50155 15 25 ControlSafe SIL4 (COTS) 30 ControlSafe (RAMS) EN 50126 EN 50128 EN50129 SIL4 ControlSafe SIL4 ControlSafe ControlSafe 15 25 ControlSafe / ControlSafe

More information

修订历史 版本日期原因 V /03/17 创建文档 i

修订历史 版本日期原因 V /03/17 创建文档 i Data Sheet DS01010101 V1.00 Date: 2016/03/17 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

UDC

UDC Technical specification for safety operation of construction machinery JGJ 33 2001 J 119 2001 2001 1 Technical specification for safety operation of construction machinery JGJ 33-2001 : :2001 11 1 2001

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 1.8 至 0MHz 频率范围内的各类窄 宽带功放 为适应高 VSWR 应用的增强型鲁棒性设计 最高工作 VDD 可达 50V 适用于 28V 至 50V 范围内供电电压, 方便不同功率等级功放设计 优异的功率线性度 优异的热稳定性 内部集成的增强 ESD 设计 符合 RoHS 规范 HTH7G06P500H 封装 :H2110S-4L

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

绯荤粺A4鐗堟湰鍗曢〉

绯荤粺A4鐗堟湰鍗曢〉 2011 +86-10-60290088 600 690 470 970 670 1000 194 130 294 65 85 150 82 90 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088

More information

26 000 000 250 000 4.27 4.31 35 000 000 15040 360 000 6.06 359 470 000 8.07 47 000 000 21185 490 000 8.33 510 000 8.65 10000 517. 10 30 10 000 360 ( 1) ( 1) ( 1) -1 ( 1) ( 1) 1 2 MV2

More information

Microsoft Word - 清华大学_成品_1.doc

Microsoft Word - 清华大学_成品_1.doc 长 春 理 工 大 学 POCT 临 床 检 验 仪 器 POCT 461 POCT 20 1 POCT 2 3 10 l 4 20 5 2min 6 20cm 15cm 10cm POCT 1343 食 品 安 全 快 速 检 测 系 列 产 品 2013 2014 1344 生 物 发 光 检 测 仪 产 品 (1) 多 参 数 农 药 残 留 检 测 仪 : 吸 光 度 范 围 :0.00~3.00Abs;

More information

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074>

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074> 射 频 与 数 模 混 合 类 高 速 PCB 设 计 课 题 内 容 理 清 功 能 方 框 图 网 表 导 入 PCB Layout 工 具 后 进 行 初 步 处 理 的 技 巧 射 频 PCB 布 局 与 数 模 混 合 类 PCB 布 局 无 线 终 端 PCB 常 用 HDI 工 艺 介 绍 信 号 完 整 性 (SI) 的 基 础 概 念 射 频 PCB 与 数 模 混 合 类 PCB

More information

可复式保险丝 : KLM 系列 表面贴装低阻型 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 EIA 尺寸 :0805, 1206, 1210, 维持电流 : 0.75 to 7.5A 4. 占用空间小 5. 超低电阻 6. 快速动作 7. 工作 & 存储温度范

可复式保险丝 : KLM 系列 表面贴装低阻型 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 EIA 尺寸 :0805, 1206, 1210, 维持电流 : 0.75 to 7.5A 4. 占用空间小 5. 超低电阻 6. 快速动作 7. 工作 & 存储温度范 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 EIA 尺寸 :0805, 1206, 1210, 1812 3. 维持电流 : 0.75 to 7.5A 4. 占用空间小 5. 超低电阻 6. 快速动作 7. 工作 & 存储温度范围 :-40~+85 8. 安规认证 : UL / cul / TUV 用途 1. USB, HDMI, IEEE 1394 接口 2. 个人电脑 主板

More information

2004 2

2004 2 2004 ( 002027) 2004 00 2004 2 2004...4...6...8... 11...15...18...21...34...36...39...39 3 2004 1 Hedy Computer Co., Ltd. HEDY 2 002027 3 908 B 63 510760 http://www.hedy.com.cn hedy@hedy.com.cn 4 63 510760

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源 Firefly-RK3128 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-05-15 版本更新日期更新内容 V1.0 2018-05-15 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 1.2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义...9 1 CON1 SIP-42PIN-2D54...9

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

标题

标题 前 言 前 言 青 岛 城 市 公 共 交 通 行 业 发 端 于 1907 年, 至 今 已 有 百 余 年 历 史 ;1950 年 青 岛 市 人 民 政 府 成 立 的 青 岛 市 交 通 公 司 是 青 岛 公 交 集 团 的 前 身, 至 今 已 有 60 多 年 的 历 史 多 年 来, 青 岛 公 交 集 团 为 岛 城 社 会 经 济 发 展 建 设 和 人 民 生 产 生 活 服

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

378高雄市都市計畫說明書

378高雄市都市計畫說明書 378 高 雄 市 都 市 計 畫 說 明 書 案 名 : 變 更 高 雄 市 楠 梓 區 高 楠 段 二 七 九 地 號 等 八 筆 農 業 區 土 地 為 批 發 市 場 用 地 擬 定 申 請 單 位 : 高 雄 市 政 府 計 畫 範 圍 : 如 圖 示 法 令 依 據 : 都 市 計 畫 法 第 二 十 七 條 第 一 項 第 四 款 一 背 景 說 明 : ( 一 ) 本 市 現 有 果

More information

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074>

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074> e-trace 132 1617 1872 p32-12 1/71 2/71 . GPS AIST 17 1-12 p32-39 3/71 GPS NMIJGPSGPS time GPS #N 1 GPS #N 2 GPS GPS #N 3 TA TA GPS _ time TA T T GPS _ time T T AB B A B T T T T ( T TB) B A B B A 4/71 16

More information