修订历史 版本日期原因 V /03/17 创建文档 i

Size: px
Start display at page:

Download "修订历史 版本日期原因 V /03/17 创建文档 i"

Transcription

1 Data Sheet DS V1.00 Date: 2016/03/17 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备, 如仪器仪表 健康医疗 智能家居 运动计量 汽车电子和休闲玩具等 产品应用 电子医疗血压计, 血糖仪, 心率计等 ; 运动健身电子产品 ; 智能家电遥控, 安防门锁等 ; 数码产品穿戴式产品, 键盘鼠标等 ; 防丢器, 寻物器方案 ; ibeacon 室内定位和微信广告推送 产品特性 32 位 ARM Cortex M0 微控制器 ; 支持主从模式, 主机最多连接 8 个从机 ; 高达 50kbps 数据传输速率 ; 支持蓝牙 4.0; AES 安全协议处理器 ; 内置电池监控和温度传感器 ; 宽工作电压 2.4~3.6V; 深度睡眠电流 :2.32µA; 接收灵敏度 :-93dBm; 发射功率 :-20dbm ~ 4dbm 可调 ; 天线类型 : 外置天线 ; 尺寸 :12*17mm 订购信息 型号 温度范围 封装 -40 C ~ +85 C 贴片 典型应用 广州周立功单片机科技有限公司

2 修订历史 版本日期原因 V /03/17 创建文档 i

3 目录 1. 引脚定义 电气参数 典型应用电路 机械尺寸 回流焊温度参考曲线 免责声明

4 1. 引脚定义 采用半孔工艺, 引脚分布如图 1.1 所示, 引脚说明请参考表 1.1 图 1.1 模块引脚图 图 1.2 实物图 表 1.1 引脚定义 引脚 定义 复位状态 描述 1 P31 0: P3_1 (I/O) : 普通 I/O 口 3: ACMP0- (I) : 模拟比较器 0 反相端输入, 弱 1: T0_2 (I/O) : 定时器 0 输入捕获, 或者时钟输入, 或者 PWM 输出上拉 2: AIN1 (I): ADC 通道 1 输入 2 P30 0: P3_0 (I/O) : 普通 I/O 口 3: ACMP0+ (AI) : 模拟比较器 0 同相端输入, 弱 1: T2_1 (I/O) : 定时器 2 输入捕获, 或者时钟输入, 或者 PWM 输出上拉 2: AIN0 (AI): ADC 通道 0 输入 3 P06 0: SWDIO (I/O): 默认为 SWDIO 数据线, 输入上拉 3: ACMP1+ (AI) : 模拟比较器 1 同相端输入, 弱 1: P0_6 (I/O): 普通 I/O 口上拉 2: AIN2 (AI): ADC 通道 2 输入 4 P07 0.SWCLK(I): 默认为 SWCLK 时钟线 4.ACMP1-(AI): 模拟比较器 1 反相端输入, 弱 1.P07(I/O) : 普通 I/O 口上拉 2.AIN3: ADC 通道 3 输入 5 P03 0: P0_3 (I/O): 普通 I/O 口输入, 弱 1: CLKOUT0 (O): 时钟输出 0 上拉 2: T0_ECLK (I/O): 定时器 0 外部时钟输入, 或者 PWM 输出 6 P00 0: P0_0 (I/O) : 普通 I/O 口输入, 弱 1: TXD0 (O): 串口 0 数据发送脚, 输出并上拉上拉 2: RTCI (I): RTC 输入捕获 7 P17 0: P1_7 (I/O) : 普通 I/O 口输入, 弱 1: RXD0 (I): 串口 0 数据接收脚, 作为输入上拉 2: T0_0 (O) : 定时器 0PWM 输出 1

5 续上表 引脚 定义 复位状态 描述 8 VCC - 电源, 需要与 VDD 短接在一块 9 VDD - 电源, 需要与 VCC 短接在一块 10 GND - 地 11 GND - 地 0: P1_3 (I/O) : 普通 I/O 口 12 P13 3: CLKOUT1 (O): 时钟输出 0 输入, 弱 1: SPICLK1 (I/O): SPI1 时钟脚上拉 2: RTS1 (O): 串口 1 RTS 脚 13 P12 0: P1_2 (I/O) : 普通 I/O 口 3: ADCT (AI): ADC 外部触发转换脚输入, 弱 1: ncs1_0 (I/O) : SPI1 从机选择脚上拉 2: CTS1 (I): 串口 1 CTS 脚 14 P11 0: P1_1 (I/O) 1: 普通 I/O 口 1: DAT1 (I/O): 4 线 SPI1 模式下作为数据输出,3 线串口 SPI1 模式作为数输入, 弱据输入和输出上拉 2: TXD1 (O): 串口 0 数据发送脚 3: T1_0 (I/O) : 定时器 1 输入捕获, 或者时钟输入, 或者 PWM 输出 15 P10 0: P1_0 (I/O) : 普通 I/O 口 3: T2_ECLK (I/O) : 定时器 2 外部时钟输入或者 PWM 输出输入, 弱 1: DIN1 (I): 4 线 SPI1 模式作为数据输入,3 线 SPI1 模式下无效上拉 2: RXD1 (I): 串口 1 数据接收 16 P27 0: P2_7 (I/O) : 普通 I/O 口 3: T1_ECLK (I/O) : 定时器 1 外部时钟输入或者 PWM 输出输入, 弱 1: ACMP1_O (O) : 模拟比较器 1 结果输出脚上拉 2: PWM0 (O): PWM0 输出 17 P26 0: P2_6 (I/O) : 普通 I/O 口输入, 弱 1: PWM1 (O): PWM1 输出上拉 2: T2_0 (I/O) : 定时器 2 输入捕获或者时钟输入, 或者 PWM 输出 18 P24 0: P2_4 (I/O) : 普通 I/O 口 3: T3_ECLK (I/O) : 定时器 3 外部时钟输入或者 PWM 输出输入, 弱 1: SCL (I/O): I 2 C 主机输出输出并上拉上拉 2: PWM1 (O): PWM1 输出 19 P23 0: P2_3 (I/O) : 普通 I/O 口 3: T3_0 (I/O) : 定时器 3 输入捕获, 或者时钟输入, 或者 PWM 输出输入, 弱 1: SDA (I/O): I 2 C 数据脚上拉 2: ACMP0_O (O) : 模拟比较器 0 结果输出脚 20 RESET 输入 硬件复位, 低电平有效 2

6 2. 电气参数 (1) 工作条件 工作条件如表 2.1 所示 表 2.1 工作条件 项目参数条件最小值典型值最大值单位 VCC 供电电源对地 V VDD 供电电源对地 V TA 工作温度 (2) 最大接受范围 最大接受范围如表 2.2 所示 表 2.2 额定值 项目参数条件最小值典型值最大值单位 VCC 供电电源对地 V VDD 供电电源对地 V TA 存储温度 (3) 功耗参数 功耗参数如表 2.3 所示 表 2.3 功耗参数 环境温度 :25 工作电压 :3.3V 工作模式 :LDO 模式 项目工作模式典型值单位 电流 注 :[1] 发送条件为载波连续发送 ; (4) 无线参数 [2] 接收条件为连续接收 无线参数如表 2.4 所示 深度睡眠模式 2.32 µa 睡眠模式 3.29 µa [1] 16 ma [2] 接收模式 表 2.4 无线参数 14 ma 项目条件典型值单位 无线参数 频率范围 2400 ~ MHz 输出功率 -20 ~ 4 dbm 输出功率调节步进 2 db 接收灵敏度 -93 dbm 最大输入信号 0 dbm 3

7 3. 典型应用电路 典型电路如图 3.1 所示 图 3.1 典型应用电路 注 : 模块内部集成 RC 复位电路 4

8 4. 机械尺寸 模块尺寸如图 4.1 所示, 单位 :mm( 毫米 ) 图 4.1 模块尺寸 ( 背面 ) 注 : [1] 长宽尺寸公差 : 最小值为 0.2mm, 典型值为 0.3mm, 最大值为 0.4mm; [2] 模块厚度 :2.17mm,±0.15mm; [3] 天线测试点中间的露铜是天线的信号线, 直径 1.016mm; 外边环状露铜是 GND, 环形外径 3.5mm, 内径 1.7mm 5

9 5. 回流焊温度参考曲线 模块在回流焊过程中, 请遵循如图 5.1 所推荐的回流焊曲线 图 5.1 回流焊温度曲线图 6

10 6. 免责声明 广州周立功单片机科技有限公司随附提供的软件或文档资料旨在提供给您 ( 本公司的客户 ) 使用, 仅限于且只能在本公司执照或销售的产品上使用 该软件或文档资料为本公司和 / 或其供应商所有, 并受适用的版权法保护 版权所有 如有违反, 将面临相关适用法律的刑事制裁, 并承担违背此许可的条款和条件的民事责任 本公司保留在不通知读者的情况下, 修改文档或者软件相关内容的权利, 对于使用中出现的任何效果, 本公司不承担任何责任 该软件或文档资料 按现状 提供 不提供保证, 无论是明示的 暗示的还是法定的保证 这些保证包括 ( 但不限于 ) 对出于某一特定目的应用此文档的适销性和适用性默示的保证 在任何情况下, 公司不会对任何原因造成的特别的 偶然的或间接的损害负责 7

11 销售与服务网络 广州周立功单片机科技有限公司 地址 : 广州市天河北路 689 号光大银行大厦 12 楼 F4 邮编 : 传真 :(020) 网址 : 电话 :(020) 广州专卖店地址 : 广州市天河区新赛格电子城 室电话 :(020) 传真 :(020) 南京周立功地址 : 南京市珠江路 280 号珠江大厦 1501 室电话 :(025) 传真 :(025) 北京周立功地址 : 北京市海淀区知春路 108 号豪景大厦 A 座 19 层电话 :(010) 传真 :(010) 重庆周立功地址 : 重庆市九龙坡区石桥铺科园一路二号大西洋国际大厦 ( 赛格电子市场 )2705 室电话 :(023) 传真 :(023) 杭州周立功地址 : 杭州市天目山路 217 号江南电子大厦 502 室电话 :(0571) 传真 :(0571) 成都周立功地址 : 成都市一环路南 2 段 1 号数码科技大厦 319 室电话 :(028) 传真 :(028) 深圳周立功地址 : 深圳市福田区深南中路 2072 号电子大厦 12 楼 1203 电话 :(0755) (5 线 ) 传真 :(0755) 武汉周立功地址 : 武汉市武昌区武珞路 282 号思特大厦 807 室电话 :(027) 传真 :(027) 上海周立功地址 : 上海市北京东路 668 号科技京城东座 12E 室电话 :(021) 传真 :(021) 西安办事处地址 : 西安市长安北路 54 号太平洋大厦 1201 室电话 :(029) 传真 :(029) 厦门办事处 sales.xiamen@zlgmcu.com 沈阳办事处 sales.shenyang@zlgmcu.com 8

修订历史版本 日期 原因 V /0617 创建文档 V /07/08 修改更新概述 产品特性及产品资料 V /07/10 更新模块参数, 增加 pcb 布局和回流焊 V /07/11 修改典型应用电路, 连接两个地, 完善电路 V1.0

修订历史版本 日期 原因 V /0617 创建文档 V /07/08 修改更新概述 产品特性及产品资料 V /07/10 更新模块参数, 增加 pcb 布局和回流焊 V /07/11 修改典型应用电路, 连接两个地, 完善电路 V1.0 Data Sheet DS01010101 V1.00 Date: 2015/12/23 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

Data Sheet ZLG9021P0-1C-TC 蓝牙模块 DS V1.01 Date:2019/03/08 产品数据手册 概述 ZLG9021P0-1C-TC 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出,

Data Sheet ZLG9021P0-1C-TC 蓝牙模块 DS V1.01 Date:2019/03/08 产品数据手册 概述 ZLG9021P0-1C-TC 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, Data Sheet DS01010101 V1.01 Date:2019/03/08 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

修订历史版本 日期 原因 V /01/20 创建文档 V /03/14 正式版本 i

修订历史版本 日期 原因 V /01/20 创建文档 V /03/14 正式版本 i Data Sheet DS01010101 V1.00 Date: 2018/01/20 概述 是一款全新的高吞吐量的蓝牙 5 模块, 具有低成本 小尺寸和速率更高 功耗更低等优点 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产过程, 加快产品上市 满足快速开发需求, 减少软件投入, 缩短研发周期 该模块使用简单方便, 能迅速桥接电子产品和智能移动设备, 广泛应用于各种电子设备,

More information

Data Sheet ZLG9021P0-1 蓝牙模块 DS V1.02 Date: 2019/0308 产品数据手册 概述 ZLG9021P0-1 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发

Data Sheet ZLG9021P0-1 蓝牙模块 DS V1.02 Date: 2019/0308 产品数据手册 概述 ZLG9021P0-1 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发 Data Sheet DS01010101 V1.02 Date: 2019/0308 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

修订历史 版本日期原因 V /07/11 创建文档 i

修订历史 版本日期原因 V /07/11 创建文档 i User 硬件使用手册 Manual UM01010101 V1.00 Date: 2015/07/11 类别 关键词 摘要 内容 QN9020;QN9021;ZLG9021P0-1; 介绍 ZLG9021P0-1 配套评估板的硬件电路和接口使用说明 广州周立功单片机科技有限公司 修订历史 版本日期原因 V0.90 2015/07/11 创建文档 i 目录 1. 开发套件简介... 1 1.1 产品图片...

More information

修订历史 版本日期原因 V /11/09 创建文档 i

修订历史 版本日期原因 V /11/09 创建文档 i User Manual UM01010101 V1.00 Date: 2011/11/09 类别 关键词 摘要 内容 协议转换 介绍 ZTM LINK 的特点与使用方法 广州周立功单片机发展有限公司 修订历史 版本日期原因 V1.00 2011/11/09 创建文档 i 销售与服务网络 ( 一 ) 广州周立功单片机发展有限公司 地址 : 广州市天河北路 689 号光大银行大厦 12 楼 F4 邮编

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

修订历史 版本日期原因 V /10/13 创建文档 i

修订历史 版本日期原因 V /10/13 创建文档 i DS01010101 V1.00 Date: 2009/10/13 类别 关键词 摘要 内容 I 2 C 总线 是一款低功耗的 COMS 型, 可驱动点阵 LCD 广州致远电子有限公司 修订历史 版本日期原因 V1.00 2009/10/13 创建文档 i 销售与服务网络 ( 一 ) 广州周立功单片机发展有限公司 地址 : 广州市天河北路 689 号光大银行大厦 12 楼 F4 邮编 :510630

More information

修订历史版本 日期 原因 V /01/14 创建文档 V /4/27 修改文档 V /6/17 修改文档 V /12/28 增加 ZTM3000 模组的升级方式 i

修订历史版本 日期 原因 V /01/14 创建文档 V /4/27 修改文档 V /6/17 修改文档 V /12/28 增加 ZTM3000 模组的升级方式 i User Manual UM2011042701 V1.03 Date: 2011/12/28 类别 关键词 摘要 内容 串口显示终端 固件更新 本文档介绍如何更新的固件 广州周立功单片机发展有限公司 修订历史版本 日期 原因 V1.00 2011/01/14 创建文档 V1.01 2011/4/27 修改文档 V1.02 2011/6/17 修改文档 V1.03 2011/12/28 增加 ZTM3000

More information

目 录

目 录 APPLICATION NOTE ZLG I 2 C 及 UART 器件 DEMO 板简介 Rev 1.1 产品应用手册 文件信息 类别 关键词 内容 I 2 C 器件,UART 器件,DEMO 板 摘要 介绍 I 2 C 器件和 UART 器件的 DEMO 板 如有需要 DEMO 板的工程师, 请跟各地分公司销售联系 http://www.zlgmcu.com 广州周立功单片机发展有限公司 技术支持

More information

修订历史 版本日期原因 V /04/09 创建文档 i

修订历史 版本日期原因 V /04/09 创建文档 i Application Note AN01010101 V1.00 Date: 2018/04/09 类别 内容 关键词 安全机制介绍 摘 要 介绍模块的安全机制, 帮助用户选择合适的安全等级 广州周立功单片机科技有限公司 修订历史 版本日期原因 V1.00 2018/04/09 创建文档 i 目录 1. 简介... 1 1.1 本文使用范围... 1 1.2 概述... 1 1.3 简单原理说明...

More information

Microsoft Word - Static PLL应用笔记.doc

Microsoft Word - Static PLL应用笔记.doc Appplication Note V1.00 产品应用笔记 文件信息 类别 关键词 摘要 PLL 频率 相位 内容 介绍如何使用 ProASIC3/E 的静态 PLL www.embedtools.com 广州致远电子有限公司 修订历史 版本日期原因 V1.00 2007/06/24 创建文档 产品应用手册 V1.00 目录 1. 适用范围...1 2. Static PLL 概述...2 2.1

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

修订历史版本 日期 原因 V /01/01 内部制定初稿 V /03/13 第一次发布 V /6/25 第二次发布 V /07/21 第三次发布 i

修订历史版本 日期 原因 V /01/01 内部制定初稿 V /03/13 第一次发布 V /6/25 第二次发布 V /07/21 第三次发布 i Data Sheet V1.20 Date: 2010/07/24 概述定压输入隔离 3KV 稳压单输出电源模块效率高 体积小 可靠性高 耐冲击 隔离特性好, 工作温度范围宽等特点 国际标准引脚方式, 阻燃封装 (UL94-V0), 自然冷却, 无需外加散热片, 无需外加其他元器件可直接使用, 并可直接焊接于 PCB 板上 该系列电源模块具有良好的电磁兼容性, 输出纹波及噪声非常小, 适合用于供电电源稳定

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档 L6 LoRa TM 通信模块规格书 巧而美 唯匠心集成 智而快 享极速运行 版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使用许可,

More information

Microsoft Word - Yang Yong report supl

Microsoft Word - Yang Yong report supl 江 河 之 痛 横 断 山 水 电 建 设 与 南 水 北 调 西 线 调 水 的 隐 忧 杨 勇 / 文 图 2006 年 7 月 初, 西 北 六 省 区 召 开 南 水 北 调 西 线 工 程 座 谈 会, 会 上 通 报 了 西 线 调 水 一 期 工 程 进 展 情 况, 并 着 重 讨 论 工 程 受 水 区 规 划 与 会 省 区 反 映, 随 着 该 区 社 会 经 济 的 迅 速

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Microsoft Word - I2C总线概要.doc

Microsoft Word - I2C总线概要.doc APPLICATION NOTE date:2006-11-08 文件信息类别关键词摘要 I 2 C 总线 概要 内容 现在, 已经有越来越多的外围器件与微控制器的接口形式是二线制的 I 2 C 串行总线, 学会其基本操作已经成为广大电子电气工程师和爱好者的迫切要求 鉴于 I 2 C 总线协议原文内容十分详尽, 对于总线协议的初学者可能不易把握, 本文特意非常简要地介绍了 I 2 C 总线的概念 优点和基础协议,

More information

Microsoft Word - 如何使用Smart PRO 5000U恢复P89V51RD2引导代码.doc

Microsoft Word - 如何使用Smart PRO 5000U恢复P89V51RD2引导代码.doc APPLICATION NOTE 如何使用 Smart PRO 5000U 恢复 P89V51RD2 引导代码 Rev 1.0 产品应用手册 文件信息 类别 关键词 内容 Smart PRO 5000U,P89V51RD2,ISP 引导代码 摘要 本文主要讲述如何用 Smart PRO 5000U 恢复 P89V51RD2 的 ISP 代码及烧写程序时的注意事项 http://www.zlgmcu.com

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

修订历史 版本日期原因 V /03/15 创建文档. 1

修订历史 版本日期原因 V /03/15 创建文档. 1 ZLG600A 读写卡模块入门指南 ZLG600A 系列读写卡模块 User Manual UM06120000 V1.00 Date: 2016/03/15 类别 关键词 摘要 内容 ZLG600A 读写卡模块 Demo 描述 ZLG600A 系列读写卡模块基于 ZLG500 Demo 板的入门指南 广州周立功单片机科技有限公司 修订历史 版本日期原因 V1.00 2016/03/15 创建文档.

More information

I Q = M A C A 100 6 100 120 = 11 100 = 110 5 10 1 1 2 3 4 5 2 1 2 3 4 5 3 1 2 3 4 5 4 1 2 3 4 5 5 1 2 3 4 5 6 1 2 3 4 5 7 1 2 3 4 5 8 1 2 3 4 5 9 1 2 3 4 5 10 1 2 3 4 5 11 1 2 3 4 5 12 1 2 3 4

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

Microsoft Word - PL10DC.doc

Microsoft Word - PL10DC.doc 蠕动泵 Peristaltic Pumps for Liquid PL10DC 系列 (Series PL10DC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 结构紧凑

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

Products catalog

Products catalog 加速度传感器 MA 运动传感器红外线阵列传感器压力传感器 机器用传感器 松下电器的机器用传感器为节能 安全 舒适做出贡献 在各个生活场景中提供传感器 空调 Grid-EYE 人体检测 门灯的ON/OFF Grid-EYE 人体检测 血压计 压力传感器 压力检测 周围明亮时 熄灯 周围变暗时发 出微弱灯光 有人靠近时 Grid-EYE 进行检 测 1%亮灯 人不在时 再次 发出微弱灯光 安防摄像头 Grid-EYE

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

書本介紹

書本介紹 班 級 經 營 期 末 報 告 組 員 : 幼 三 甲 4A0I0030 蔡 依 璇 4A0I0048 蘇 家 儀 4A0I0096 張 容 嫣 4A0I0098 袁 少 潔 書 本 介 紹 閱 讀 對 象 : 小 學 低 年 級 的 老 師 新 生 家 長 有 意 從 事 小 學 者 及 關 心 教 育 品 質 的 社 會 人 士 內 容 : 1. 教 師 如 何 成 功 有 效 地 經 營 低

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

DS0005E_EMW3162

DS0005E_EMW3162 产品手册 EMB1061 嵌入式蓝牙模块 版本 :1.2 日期 :2018-03-08 编号 :DS0080CN 概要 特性 模块型号 包含一个超低功耗蓝牙芯片 ARM Cortex-M0 内核 微处理器主频 32MHz 24KB RAM 160KB Flash 电压 :1.7V~3.6V Bluetooth 相关特性 支持蓝牙 BLE 4.2 射频数据速率 2Mbps 最大 TX 功率 : 8dBm

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

修订历史版本 日期 原因 V /07/22 创建文档 V /11/17 第一次修改 V /02/10 第二次修改 V /11/22 第三次修改 V /11/11 更新手册布局 联系方式 V /07/31

修订历史版本 日期 原因 V /07/22 创建文档 V /11/17 第一次修改 V /02/10 第二次修改 V /11/22 第三次修改 V /11/11 更新手册布局 联系方式 V /07/31 Data Sheet 系列 3KVDC 隔离标准模拟量输入 :0~+5V V1.06 Date: 2016/09/27 概述 ZCM23XX 系列有源隔离放大器是一种前级 0~5V 电压信号输入, 后级多种信号类型输出的高性能模拟信号隔离调理模块 模块信号输入端 输出端及电源端相互隔离, 具有高达 3.0KV DC 耐压能力, 可有效解决电源与信号的环路干扰问题, 极大地提高系统的可靠性 产品特性

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

质量体系认证证书 IS O900 0认证证书 国军标体系认证证书 企业文化 企业愿景 创新型 节能 绿色 高可靠性电子产品 品牌服务商 宗 旨 创新改变人生 发展造就个人 企业 社会的共赢 使 命 以开拓思维谋求创新 以创新求发展 以发展提升员工 股东价值 提高顾客 竞争力 履行社会责任 企业精神 以开拓创新谋发展 以持续改进促完善 以价值增值为己任 以共赢互进为目标 创新 改进 发展 思维创新 技术创新

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 第 十 一 期 2015 年 2 月 热 点 政 策 与 问 答 嘉 定 区 税 务 局 纳 税 服 务 中 心 编 第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告

More information

USER’S MANUAL SP500 SERIES

USER’S MANUAL SP500 SERIES 1.... 1 2.... 2 2-1... 2 2-2... 3 2-3... 3 2-4... 3 3.... 4 4.... 6 4-1... 6 4-2... 7 4-3... 8 4-4... 9 4-5... 9 4-6... 10 4-7... 11 5.... 12 5-1... 12 5-2... 15 5-3... 18 5-4... 19 6.... 20 6-1... 20

More information

WH-BLE103硬件设计手册V1.0.0.doc

WH-BLE103硬件设计手册V1.0.0.doc WH-BLE103 硬件设计手册 文件版本 :V1.0.0 第 1 页共 17 页 目录 WH-BLE103 硬件设计手册... 1 1 关于文档... 3 1.1. 文档目的... 3 1.2. 产品外观... 3 1.3. 参考文档列表... 3 2 产品简介... 4 2.1. 基本参数... 4 2.2. 模块应用框图... 4 2.3. 引脚定义... 5 2.4. 开发套件... 6 3

More information

untitled

untitled ...2...3...4...6...6...8...11...14...18...19...20...22...22...23...25...26...27...28...29...29...29 4-1 - ( ) 88 37 88 37 200121 2003 4 18 [2003]48 1.5 4-2 - ( ) 25 1 1 100032 2004 09 17 [1998]12 ( ) (

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

Microsoft PowerPoint - 02_02_牆面裝修.ppt

Microsoft PowerPoint - 02_02_牆面裝修.ppt 牆 面 裝 修 牆 面 裝 修 牆 面 裝 修 可 分 內 牆 及 外 牆 裝 修, 而 內 牆 尚 可 分 為 外 牆 內 面 及 內 牆 面 及 隔 間 牆 等 ; 因 此 裝 修 時 需 考 慮 該 等 牆 體 構 造 之 底 層 材 料 配 合, 牆 面 因 底 層 材 料 之 不 同 其 施 工 方 式 亦 隨 之 而 異, 以 下 茲 就 常 見 之 底 層 處 理 方 式 說 明 之

More information

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU contr

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU   contr SuperE RTU SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU 01062973388 SuperE RTU http://www.supere.com.cn http://www.echocontrol.com, E-mail(marketing@echo control.com ...1...3 1. SuperE RTU...3 1.1...3

More information

目录 1. 产品介绍 概述 特征 产品框图 外观图 特性 管脚图 电气特性 硬件设计注意事项 应用框图 GPIO 介绍 LED 控制... 2.

目录 1. 产品介绍 概述 特征 产品框图 外观图 特性 管脚图 电气特性 硬件设计注意事项 应用框图 GPIO 介绍 LED 控制... 2. 产品规格书 串口 WiFi 模块 LCX801 (2.4GHz/150Mbps 802.11 b/g/n) 目录 1. 产品介绍... 1.1 概述... 1.2 特征... 1.3 产品框图... 1.4 外观图... 1.5 特性... 1.6 管脚图... 1.7 电气特性... 2. 硬件设计注意事项... 2.1 应用框图... 2.2 GPIO 介绍... 2.2.1 LED 控制...

More information

地 理 志 鏡 止 煞, 來 達 到 安 宅 的 效 果 4. 門 神 符 紙 : 於 門 板 繪 製 門 神, 作 為 宅 第 的 守 護, 民 宅 所 使 用 的 門 神 題 材, 多 為 天 官 賜 福 或 文 武 官 員 符 紙 是 以 畫 了 符 咒 的 紙 懸 掛 室 內, 或 加 框

地 理 志 鏡 止 煞, 來 達 到 安 宅 的 效 果 4. 門 神 符 紙 : 於 門 板 繪 製 門 神, 作 為 宅 第 的 守 護, 民 宅 所 使 用 的 門 神 題 材, 多 為 天 官 賜 福 或 文 武 官 員 符 紙 是 以 畫 了 符 咒 的 紙 懸 掛 室 內, 或 加 框 第 二 篇 - 人 文 地 理 ( 五 ) 民 宅 的 祈 福 辟 邪 物 臺 灣 早 期 移 民, 因 為 離 鄉 背 井, 對 於 新 環 境 的 陌 生, 以 及 生 存 的 不 容 易, 再 加 上 承 襲 閩 粵 地 區 的 習 慣, 所 以 住 屋 講 究 的 是 祈 福 辟 邪 除 了 建 屋 之 前 要 看 地 理 風 水, 在 建 屋 時 更 有 許 多 禁 忌 要 遵 守 另 外,

More information

設計目標規格書

設計目標規格書 ARM Cortex -M0 32 位微控制器 NuMicro M051 DN/DE 系列 产品简介 The information described in this document is the exclusive intellectual property of Nuvoton Technology Corporation and shall not be reproduced without

More information

µmµm µm ±µm µm ± µm µm ± µm µm µm µm µm µm ±µm ±µm ±µm µm µm µm µm µm µm µm µm ±µm ±µm ±µm ±µm ±µm ±µm µm µm µm µm µm µm µm µm ±µm ±µm ±µm ±µm ±µm µm µm ± µm ± µm ± µm µm µm ±µm ±µm ±µm ±µm ±µm ±µm ±µm

More information

untitled

untitled 1 1 2 2/3 3 4 2 1 15mm 1 2 3 3 4 2 1 2 4 1 2 3 4 5 3 6 1 2 4 3 4 5 6 5 6 7 8 7 9 1 2 3 3 3 2 b h 0.168d d 3 2 h d 3 3 8 9 10 11 12 13 14 15 16 17 18 19 20 21 23 1 11 21 2 12 22 3 13 23 4 14 24 5 15 24

More information

修订历史 版本日期原因 V /02/25 创建文档 i

修订历史 版本日期原因 V /02/25 创建文档 i AN1402252 V1.00 Date: 2014/02/25 应用笔记 类别 关键词 摘要 内容 步进电机 本文档介绍步进电机及其驱动的基本原理 广州周立功单片机科技有限公司 修订历史 版本日期原因 V1.00 2014/02/25 创建文档 i 目录 1. 步进电机的工作原理...1 2. 步进电机的单极性驱动...2 2.1 单极性驱动的原理...2 2.2 单极性驱动电路...4 2.3

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

修订历史 版本日期原因 V /09/28 创建文档 产品应用笔记 2017 Guangzhou ZHIYUAN Electronics Co., Ltd.. i

修订历史 版本日期原因 V /09/28 创建文档 产品应用笔记 2017 Guangzhou ZHIYUAN Electronics Co., Ltd.. i Selection Guide AN01010101 V1.00 Date: 2017/09/28 产品应用笔记 类别 内容 关键词 DTU ZigBee WiFi 433/470 LoRa 天线选型 摘 要 总结天线型号及参数, 方便选择相关配件 广州致远电子有限公司 修订历史 版本日期原因 V1.00 2017/09/28 创建文档 产品应用笔记 2017 Guangzhou ZHIYUAN Electronics

More information

TouchWin Human Machine Interface

TouchWin    Human Machine Interface Human Machine Interface TP 1 2 3 1 2 3 4 5 TP 1 PLC 113 TouchWin / 2 TouchWin PLC Programmable Logical Controller PLC CAD/CAM PLC I/O 3 TouchWin...3...4...6 1... 6 1-1... 7 1-2... 8 1-3... 10 1-4... 13

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF PCF PCF PCF PCF 注

掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF PCF PCF PCF PCF 注 钥匙解锁接线图 ------- 专为锁匠而生, 只为锁匠而省!------- Power by JMD technology Version 1.0.2 2018/5/11-1 - 掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF7941 4 19 10 11 PCF7945 4 19 8 9 PCF7952 8 11 21 22 PCF7953

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

《垓下歌》 項羽

《垓下歌》 項羽 1. 2. 3. 4. MM1 1 5. 6. 7. 8. MM1 2 9. ( ) 爲 10. 11. MM1 3 12. 13. 14. 15. 縧 16. MM1 4 17. 18. 19. MM1 5 20. 21. 22. 23. 24. 25. MM1 6 26. 27. 28. 29. 30. 31. MM1 7 32. 爲 33. 34. 35. 36. MM1 8 37. 38.

More information

12 12 1 30 40 20 30 10 20 6 10 10 2 34.8 56.1 18.0 20.9 3.8 0.4 17.9 18.3 11.7 9.1 9.1 8.3 9.2 6.3 10.8 8.0 3 1949 1952 1957 1965 1975 1980 1985 100 100 100 100 100 100 100 11.0 19.4 26.1 26.2

More information

报 告 简 要 丽 江 古 城 位 于 云 南 省 西 北 部, 始 建 于 宋 末 元 初 古 城 西 北 方 30 公 里 处 是 海 拔 5596 米 的 玉 龙 雪 山 及 第 四 世 冰 川 遗 迹 丽 江 古 城 在 南 宋 时 期 就 初 具 规 模, 已 有 八 九 百 年 的 历

报 告 简 要 丽 江 古 城 位 于 云 南 省 西 北 部, 始 建 于 宋 末 元 初 古 城 西 北 方 30 公 里 处 是 海 拔 5596 米 的 玉 龙 雪 山 及 第 四 世 冰 川 遗 迹 丽 江 古 城 在 南 宋 时 期 就 初 具 规 模, 已 有 八 九 百 年 的 历 丽 江 古 城 托 管 挂 牌 可 行 性 分 析 报 告 上 海 文 化 产 权 交 易 所 申 江 文 化 商 品 运 营 服 务 平 台 二 零 一 六 年 七 月 报 告 简 要 丽 江 古 城 位 于 云 南 省 西 北 部, 始 建 于 宋 末 元 初 古 城 西 北 方 30 公 里 处 是 海 拔 5596 米 的 玉 龙 雪 山 及 第 四 世 冰 川 遗 迹 丽 江 古 城 在

More information

有 不 良 企 图 时, 就 要 立 即 躲 开 他 当 你 实 在 难 以 分 辨 对 方 是 真 心 实 意 还 是 虚 情 假 意 时, 可 向 父 母 老 师 或 周 围 较 成 熟 和 亲 近 的 朋 友 请 教, 请 他 们 帮 你 分 析 情 况, 做 出 判 断 此 时, 拒 绝 帮

有 不 良 企 图 时, 就 要 立 即 躲 开 他 当 你 实 在 难 以 分 辨 对 方 是 真 心 实 意 还 是 虚 情 假 意 时, 可 向 父 母 老 师 或 周 围 较 成 熟 和 亲 近 的 朋 友 请 教, 请 他 们 帮 你 分 析 情 况, 做 出 判 断 此 时, 拒 绝 帮 第 一 章 女 生 安 全 2009 年 11 月 2 日 深 夜,51 岁 的 农 民 李 某 翻 墙 进 入 某 中 学 行 窃, 他 悄 悄 来 到 一 小 屋 前, 并 无 所 获 见 屋 内 3 名 少 女 都 已 熟 睡, 便 生 邪 念, 欲 行 不 轨 3 少 女 慷 醒 后, 遭 李 某 的 殴 打 和 猥 亵, 其 中 一 名 16 岁 女 生 乘 机 溜 出 房 外, 将 房

More information

內 容 及 試 題 範 例 術 科 評 量 規 範 評 分 標 準 一 (, 工 具 與 材 料 由 本 校 提 供, 考 生 無 須 自 備 ) ( 一 ) 基 本 焊 接 工 具 操 作 及 辨 識 基 本 手 工 具 設 備 ( 二 ) 測 驗 時 間 50 分 鐘 ( 三 ) 工 具 與 材

內 容 及 試 題 範 例 術 科 評 量 規 範 評 分 標 準 一 (, 工 具 與 材 料 由 本 校 提 供, 考 生 無 須 自 備 ) ( 一 ) 基 本 焊 接 工 具 操 作 及 辨 識 基 本 手 工 具 設 備 ( 二 ) 測 驗 時 間 50 分 鐘 ( 三 ) 工 具 與 材 104 學 年 度 高 級 中 等 學 校 特 色 招 生 職 業 類 科 甄 選 入 學 內 容 審 查 表 學 校 名 稱 ( 全 銜 ) 私 立 治 平 高 中 日 期 104 年 4 月 25 日 ( 六 ) 科 班 名 資 訊 科 特 色 班 項 目 基 本 焊 接 工 具 操 作 辨 識 基 本 手 工 具 設 備 一 可 聯 接 性 : 術 科 命 題 規 範 命 題 內 容 基 本

More information

交 通 部 公 路 總 局 新 竹 區 監 理 所 104 年 第 2 次 契 約 服 務 員 甄 試 試 場 序 號 試 場 序 號 姓 名 A01 A02 A03 A04 A05 A06 A07 A08 A09 A10 A11 A12 A13 A14 A15 A16 張 齡 文 王 美 蕙 吳

交 通 部 公 路 總 局 新 竹 區 監 理 所 104 年 第 2 次 契 約 服 務 員 甄 試 試 場 序 號 試 場 序 號 姓 名 A01 A02 A03 A04 A05 A06 A07 A08 A09 A10 A11 A12 A13 A14 A15 A16 張 齡 文 王 美 蕙 吳 交 通 部 公 路 總 局 新 竹 區 監 理 所 104 年 第 2 次 契 約 服 務 員 甄 試 試 場 規 則 一 考 生 應 於 考 試 當 日 攜 帶 國 民 身 分 證 正 本 或 其 他 足 資 證 明 身 分 之 證 件 於 上 午 8 時 50 分 前 至 本 所 行 政 大 樓 2 樓 道 安 教 室 入 場 考 試, 未 攜 帶 者 一 律 不 得 參 加 考 試 ; 冒 名

More information

2.??,,,,, ;,,,,,,,, 3.?,,?,?,

2.??,,,,, ;,,,,,,,, 3.?,,?,?, 1.?? :,,,, : ( 1),, ( ), 5 : ( 2),,,, : ( ),,, ( 3) 2.??,,,,, ;,,,,,,,, 3.?,,?,?, ,,,, 250 :, 4.?,,,,,,,,? ( 1),,,, ( 2),,,, ,,, ( 3),, ( 4) : ;,,,,, ( 5),,,, 5.? ,,,,,,,,,,,,, 6.?, :,,, ;,,,,, ;, : 7.?,?,,,,

More information

宜蘭縣風景區管理所五峰旗風景特定風景區開放行動咖啡車作業投標須知

宜蘭縣風景區管理所五峰旗風景特定風景區開放行動咖啡車作業投標須知 宜 蘭 縣 礁 溪 鄉 湯 圍 溝 公 園 委 託 經 營 管 理 契 約 書 立 契 約 書 人 宜 蘭 縣 政 府 ( 以 下 簡 稱 甲 方 ) 為 充 分 利 用 湯 圍 溝 公 園 空 間 效 益, 並 提 昇 遊 憩 服 務 品 質, 特 委 託 ( 以 下 簡 稱 乙 方 ) 經 營 管 理, 特 訂 定 本 契 約, 契 約 內 容 如 后 : 第 一 條 : 一 契 約 文 件 及

More information

第 二 十 七 章 一 夜 苦 熬 第 二 十 八 章 租 房 同 居 第 二 十 九 章 二 人 世 界 第 三 十 章 取 消 面 试 第 三 十 一 章 中 暑 卧 床 第 三 十 二 章 找 到 工 作 第

第 二 十 七 章 一 夜 苦 熬 第 二 十 八 章 租 房 同 居 第 二 十 九 章 二 人 世 界 第 三 十 章 取 消 面 试 第 三 十 一 章 中 暑 卧 床 第 三 十 二 章 找 到 工 作 第 商 场 风 月 之 新 欢 旧 爱 七 寸 明 月 / 著 第 一 章 凌 晨 惊 梦... 4 第 二 章 前 台 MM... 7 第 三 章 陪 赌 陪 嫖... 11 第 四 章 淫 声 荡 语... 15 第 五 章 孤 儿 报 恩... 19 第 六 章 一 招 断 腕... 21 第 七 章 惹 毛 警 察... 26 第 八 章 痛 扁 犯 人... 29 第 九 章 薄 惩 邢 科...

More information

美 国 研 究

美 国 研 究 1991 2 1991 3 1991 4 1991 5 1991 6 1991 7 1991 8 1991 9 1991 10 1991 11 1991 12 1991 13 1991 14 1991 15 1991 16 1991 17 1991 18 1991 19 1991 20 1991 21 1991 22 1991 23 1991 24 1991 25 1991 26 1991 27 1991

More information

Microsoft Word - FM17522_ps_chs - new.doc

Microsoft Word - FM17522_ps_chs - new.doc FM17522 通用 非接触读写器芯片 2016.10 FM17522 非接触读写器芯片 版本 1.4 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

修订历史 版本日期原因 V /08/02 创建文档 i

修订历史 版本日期原因 V /08/02 创建文档 i Data Sheet NB-IoT 通信模块 DS01010101 V1.01 Date: 2018/08/02 产品数据手册 概述 产品特性 ZM7100X 是广州致远电子有限公司自主研发的一款高性能 低功耗 低成本 大连接的 NB-IoT 尺寸为 16mm 18mm, 是最小的标准尺寸, 能满足终端设备对小尺寸模块产品的需求, 已支持主流的 OneNet 云 天翼云和阿里云, 能够最大程度地拿到运营商补贴

More information

内置协议及链路层、具有六路接收通道

内置协议及链路层、具有六路接收通道 PTR9618PA 最大 +20dBm PA 输出, 嵌入式低功耗蓝牙模块 Cortex M4F 32 位处理器内核, 支持蓝牙 5.0 专为更远距离通信设计, 内置最大 +20dBm 射频输出, 嵌入式系统级超低功耗蓝牙多协议模块 PTR9618PA, 基于 Nordic nrf52832,arm Cortex M4F 32 位处理器内核, 内嵌 2.4GHz 射 频收发器, 搭载集成天线, 提供一个系统级的多无线协议系统级模块完整解决方案,

More information

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同 附加说明书 符合 DIN - EN - ASME - JIS - GOST 的法兰 技术参数 Document ID: 31088 1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 1.4404 或 1.4435 所有距离值以毫米为单位

More information

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH7000 Series • ±3.0 %RH Accuracy

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH7000 Series • ±3.0 %RH Accuracy 数字式温湿度传感器 HIH7000 系列 ±3.0 %RH( 相对湿度 ) 精度 潜在应用 工业 HVAC/R 可用于空调 / 空气流动系统 热焓传感器 恒温器 增湿器 / 除湿器和湿度调节器, 提供精确的相对湿度和温度测量值, 以维持人体舒适或适合储藏的最佳温湿度, 同时还可实现低能耗, 保证系统精度与质量, 优化系统正常运行时间, 以及提高系统的整体性能 空气压缩机 提供压缩空气管路中精确的相对湿度测量值,

More information

修订历史 版本日期原因 V /3/27 创建文档 i

修订历史 版本日期原因 V /3/27 创建文档 i Application Note AN01010101 V0.00 Date: 2015/3/27 类别 关键词 摘要 内容 EPC-287 i.mx287 交换机 双网口 本文主要介绍 EPC-287 或 i.mx287 双网口的应用之一 广州致远电子股份有限公司 修订历史 版本日期原因 V0.00 2015/3/27 创建文档 i 目录 1. 适用范围... 1 2. 原理概述... 2 3.

More information