芯片主要特性:

Size: px
Start display at page:

Download "芯片主要特性:"

Transcription

1

2 超低功耗高性能 2.4GHz GFSK 无线收发芯片 主要特性 工作在 2.4GHz ISM 频段 调制方式 :GFSK/FSK 数据速率 :2Mbps/1Mbps/250Kbps 超低关断功耗 :0.7uA 超低待机功耗 :15uA 快速启动时间 : 130uS 内部集成高 PSRR LDO 宽电源电压范围 : V 宽数字 I/O 电压范围 : V 低成本晶振 :16MHz±60ppm 接收灵敏度 最高发射功率 :7dBm 接收电流 (2Mbps):15mA 发射电流 (2Mbps): 12mA(0dBm) 最高 10MHz 四线 SPI 接口 内部集成智能 ARQ 基带协议引擎 收发数据硬件中断输出 支持 1bit RSSI 输出 极少外围器件, 降低系统应用成本 QFN20 封装或 COB 封装 结构框图 应用范围 无线鼠标 键盘 无线遥控 体感设备 有源 RFID NFC 智能电网 智能家居 无线音频 无线数据传输模块 低功耗自组网无线传感网节点 封装图 IREF 16 VSS 17 VCC 18 VDD_D 19 VSS QFN XI XO VSS VCC IRQ

3 术语缩写 术语 描述 中文描述 ARQ Auto Repeat-reQuest 自动重传请求 ART Auto ReTransmission 自动重发 ARD Auto Retransmission Delay 自动重传延迟 BER Bit Error Rate 误码率 CE Chip Enable 芯片使能 CRC Cyclic Redundancy Check 循环冗余校验 CSN Chip Select 片选 DPL Dynamic Payload Length 动态载波长度 GFSK Gaussian Frequency Shift Keying 高斯频移键控 IRQ Interrupt Request 中断请求 ISM Industrial-Scientific-Medical 工业 - 科学 - 医学 LSB Least Significant Bit 最低有效位 Mbps Megabit per second 兆位每秒 MCU Micro Controller Unit 微控制器 MHz Mega Hertz 兆赫兹 MISO Master In Slave Out 主机输入从机输出 MOSI Master Out Slave In 主机输出从机输入 MSB Most Significant Bit 最高有效位 PA Power Amplifier 功率放大器 PID Packet Identity 数据包识别位 PLD Payload 载波 RX RX 接收端 TX TX 发射端 PWR_DWN Power Down 掉电 PWR_UP Power UP 上电 RF_CH Radio Frequency Channel 射频通道 RSSI Received Signal Strength Indicator 信号强度指示器 RX Receiver 接收机 RX_DR Receive Data Ready 接收数据准备就绪 SCK SPI Clock SPI 时钟 SPI Serial Peripheral Interface 串行外设接口 TX Transmitter 发射机 TX_DS Transmit Data Sent 已发数据 XTAL Crystal 晶体振荡器

4 目录 1 简介 引脚信息 工作模式 状态转换图 Shutdown 工作模式 Standby 工作模式 Idle-TX 工作模式 TX 工作模式 RX 工作模式 寄存器映射表 主要参数指标 极限参数 电气指标 封装 典型应用原理图 典型应用原理图 PCB 布线 订单信息 技术支持与联系方式 附 : 典型配置方案... 19

5 1 简介 本 RF 芯片是一颗工作在 2.4GHz ISM 频段, 专为低功耗无线场合设计, 集成嵌入式 ARQ 基带协议引擎的无线收发器芯片 工作频率范围为 2400MHz-2525MHz, 共有 126 个 1MHz 带宽的信道 采用 GFSK/FSK 数字调制与解调技术 数据传输速率与 PA 输出功率都可以调节, 支持 2Mbps,1Mbps,250Kbps 三种数据速率 高的数据速率可以在更短的时间完成同样的数据收发, 因此可以具有更低的功耗 针对低功耗应用场合进行了特别优化, 在关断模式下, 所有寄存器值与 FIFO 值保持不变, 关断电流为 0.7uA; 在待机模式下, 时钟保持工作, 电流为 15uA, 并且可以在最长 130uS 时间内开始数据的收发 操作方式非常方便, 只需要微控制器 (MCU) 通过 SPI 接口对芯片少数几个寄存器配置即可以实现数据的收发通信 嵌入式 ARQ 基带引擎基于包通信原理, 支持多种通信模式, 可以手动或全自动 ARQ 协议操作 内部集成收发 FIFO, 可以保证芯片与 MCU 数据连续传输, 增强型 ARQ 基带协议引擎能处理所有高速操作, 因此大大降低了 MCU 的系统消耗 具有非常低的系统应用成本, 只需要一个 MCU 和少量外围无源器件即可以组成一个无线数据收发系统 内部集成高 PSRR 的 LDO 电源, 保证 V 宽电源范围内稳定工作 ; 数字 I/O 兼容 2.5V/3.3V/5V 等多种标准 I/O 电压, 可以与各种 MCU 端口直接连接 图 1-1 芯片结构框图

6 2 引脚信息 引脚信息图 (QFN 封装 ) 表 2.1 引脚功能描述 端口 端口名称 端口类型 功能描述 1 CE DI 芯片开启信号, 激活 RX 或 TX 模式 2 CSN DI SPI 片选信号 3 SCK DI SPI 时钟信号 4 MOSI DI SPI 输入信号 5 MISO DO SPI 输出信号 6 IRQ DO 可屏蔽中断信号, 低电平有效 7,15, VCC Power 电源 (+1.9 ~ +3.6V,DC) 18 8,14, VSS Power 地 (0V) 17,20 9 XO AO 晶体振荡器输出引脚 10 XI AI 晶体振荡器输入引脚 11 VDD_PA Power 给内置 PA 供电的电源输出引脚 (+1.8V) 12 RFP RF 天线接口 1 13 RFN RF 天线接口 2 16 IREF AI 基准电流 19 VDD_D PO 内部数字电路电源, 须接去耦电容 Die exposed Power 地 (0V), 推荐与 PCB 大面积地相连

7 3 工作模式 3.1 状态转换图芯片内部有状态机, 控制着芯片在不同工作模式之间的转换 可配置为 Shutdown Standby Idle-TX TX 和 RX 五种工作模式 状态转换图如图 3-1 所示 图 3-1 Si24R1 工作模式切换图

8 3.1.1 Shutdown 工作模式在 Shutdown 工作模式下, 所有收发功能模块关闭, 芯片停止工作, 消耗电流最小, 但所有内部寄存器值和 FIFO 值保持不变, 仍可通过 SPI 实现对寄存器的读写 设置 CONFIG 寄存器的 PWR_UP 位的值为 0, 芯片立即返回到 Shutdown 工作模式 Standby 工作模式在 Standby 工作模式, 只有晶体振荡器电路工作, 保证了芯片在消耗较少电流的同时能够快速启动 设置 CONFIG 寄存器下的 PWR_UP 位的值为 1, 芯片待时钟稳定后进入 Standby 模式 芯片的时钟稳定时间一般为 1.5~2ms, 与晶振的性能有关 当引脚 CE=1 时, 芯片将由 Standby 模式进入到 Idle-TX 或 RX 模式, 当 CE=0 时, 芯片将由 Idle-TX TX 或 RX 模式返回到 Standby 模式 Idle-TX 工作模式在 Idle-TX 工作模式下, 晶体振荡器电路及时钟电路工作 相比于 Standby 模式, 芯片消耗更多的电流 当发送端 TX FIFO 寄存器为空, 并且引脚 CE=1 时, 芯片进入到 Idle-TX 模式 在该模式下, 如果有新的数据包被送到 TX FIFO 中, 芯片内部的电路将立即启动, 切换到 TX 模式将数据包发送 在 Standby 和 Idle-TX 工作模式下, 所有内部寄存器值和 FIFO 值保持不变, 仍可通过 SPI 实现对寄存器的读写 TX 工作模式当需要发送数据时, 需要切换到 TX 工作模式 芯片进入到 TX 工作模式的条件为 : TX FIFO 中有数据, CONFIG 寄存器的 PWR_UP 位的值为 1,PRIM_RX 位的值为 0, 同时要求引脚 CE 上有一个至少持续 10us 的高脉冲 芯片不会直接由 Standby 模式直接切换到 TX 模式, 而是先立即切换到 Idle-TX 模式, 再由 Idle-TX 模式自动切换到 TX 模式 Idle-TX 模式切换到 TX 模式的时间为 120us~130us 之间, 但不会超过 130us 单包数据发送完成后, 如果 CE=1, 则由 TX FIFO 的状态来决定芯片所处的工作模式, 当 TX FIFO 还有数据, 芯片继续保持在 TX 工作模式, 并发送下一包数据 ; 当 TX FIFO 没有数据, 芯片返回 Idle-TX 模式 ; 如果 CE=0, 立即返回 Standby 模式 数据发射完成后, 芯片产生数据发射完成中断 RX 工作模式当需要接收数据时, 需要切换到 RX 工作模式 芯片进入到 RX 工作模式的条件为 :

9 Si24R1 设置寄存器 CONFIG 的 PWR_UP 位的值为 1,PRIM_RX 位的值为 1, 并且引脚 CE=1 芯片由 Standby 模式切换到 RX 模式的时间为 120~130us 当接收到数据包的地址与芯片的地址相同, 并且 CRC 检查正确时, 数据会自动存入 RX FIFO, 并产生数据接收中断 芯片最多可以同时存三个有效数据包, 当 FIFO 已满, 接收到的数据包被自动丢掉 在接收模式下, 可以通过 RSSI 寄存器检测接收信号功率 当接收到的信号强度大于 -60dBm 时,RSSI 寄存器的 RSSI 位的值将被设置为 1 否则,RSSI=0 RSSI 寄存器的更新方法有两种 : 当接收到有效的数据包后,RSSI 会自动更新, 此外, 将芯片从 RX 模式换到 Standby 模式时 RSSI 也会自动更新 RSSI 的值会随温度的变化而变化, 范围在 ±5dBm 以内

10 4 寄存器映射表 Address (Hex) Mnemonic Bit Reset Value Type Description 00 CONFIG 配置寄存器 01 EN_AA 使能自动确认 02 EN_RXADDR 使能接收数据管道地址 03 SETUP_AW 地址宽度配置 04 SETUP_RETR 自动重发配置 05 RF_CH 射频信道 06 RF_SETUP 射频配置 CONT_WAVE 7 0 R/W 为 1 时, 使能恒载波发射模式, 用来测试发射功率 Reserved 6 0 R/W 保留 RF_DR_LOW 5 0 R/W 设置射频数据率为 250kbps 1Mbps 或 2Mbps, 与 RF_DR_HIGH 共同控制 PLL_LOCK 4 0 R/W 保留字, 必须为 0 RF_DR_HIGH 3 1 R/W 设置射频数据率 [RF_DR_LOW, RF_DR_HIGH]: 00:1Mbps 01:2Mbps 10:250kbps 11: 保留 2:0 110 R/W 设置 TX 发射功率 111: 7dBm 110: 4dBm RF_PWR 101: 3dBm 100: 1dBm 011: 0dBm 010:-4dBm 001:-6dBm 000:-12dBm 07 STATUS 状态寄存器 (SPI 操作的第一个字节, 状 态寄存器值通过 MISO 串行输出 )

11 5 主要参数指标 5.1 极限参数 工作条件 最小值 最大值 单位 电源电压 VDD V VSS 0 V 输入电压 VI V 输出电压 VO VSS to VDD VSS to VDD V 总功耗 100 mw 温度工作温度范围 存储温度 ESD 性能 HBM(Human Body Model): Class 1C 5.2 电气指标 条件 :VDD=3V,VSS=0V TA=27, 晶振 CL=12pF 符号 参数 最小值 典型值 最大值 单位 备注 OP 参数 VDD 电源电压范围 V I SHD Shutdown 模式电流 0.7 µa I STB Standby 模式电流 15 µa I IDLE Idle-TX 模式电流 380 µa I RX 模式电流 15 I RX 模式电流 14.5 I RX 模式电流 14 I TX 模式电流 25 I TX 模式电流 16 I TX 模式电流 12

12 I TX 模式电流 9.5 I TX 模式电流 8.5 RF 参数 F OP RF 频率范围 MHz F CH RF 信道间隔 1 MHz 2Mpbs 时至少为 2MHz ΔF MOD (2Mbps) 调制频率偏移 ±330 KHz ΔF MOD (1M/250Kbps) 调制频率偏移 ±175 KHz R GFSK 数据速率 Kbps RX 参数 RX -83 dbm BER=0.1% RX -87 dbm BER=0.1% RX -96 dbm BER=0.1% C/I 同信道选择性 6 db C/I C/I C/I 1 st 2MHz 2 nd 4MHz 3 rd 邻道选择性 0 db 邻道选择性 -20 db 邻道选择性 -26 db 6MHz C/I 同信道选择性 7 db C/I C/I C/I TX 参数 1 st 2MHz 2 nd 4MHz 3 rd 6MHz 邻道选择性 6 db 邻道选择性 -21 db 邻道选择性 -30 db P RF RF 输出功率 dbm P 调制带宽 2.1 MHz P 调制带宽 1.1 MHz P 调制带宽 0.9 MHz P RF1 1 st P RF2 2 nd 邻道功率 2MHz -20 dbm 邻道功率 4MHz -46 dbm 晶振参数 F XO 晶振频率 16 MHz ΔF 频偏 ±60 ppm ESR 等效损耗电阻 100 Ω

13 6 封装

14 图 8-1 顶层图 SYMBOL MILLIMETER MIN NOM MAX A A b D D e 0.50BSC E E Ne 2.00BSC Nd 2.00BSC L h U 0.20 REF. L/F 载体尺寸 (mil) 图 8-2 封装尺寸

15 图 9-3 片上天线顶层布线图 (0402 元件 ) 图 9-4 片上天线底层布线图

16 8 订单信息 封装标志 PYYMMLL P: 固定为 P YY: 封装年代码 MM: 封装月代码 LL: 晶圆代码 表 10-1 订单信息表 订单代码 封装 包装 最小单位 4 4mm Box/Tu 5 4 4mm 20-pin QFN Tray 1K 4 4mm 20-pin QFN Tape and reel 1K

17 9 技术支持与联系方式 地址 : 邮编 : 电话 传真 :

18 附 : 典型配置方案 模式一 :ACK 通信 发射方配置 : spi_rw_reg(setup_aw, 0x03); // 设置地址宽度为 5bytes spi_write_buf(tx_addr, TX_ADDRESS, 5); // 写入发送地址,5 字节 spi_write_buf(rx_addr_p0, TX_ADDRESS, 5); // 接收通道 0 地址和发射地址相同 spi_write_buf(w_tx_payload, buf, TX_PLOAD_WIDTH); // 写 TX FIFO spi_rw_reg(feature, 0x04); // 使能动态负载长度 spi_rw_reg(dynpd, 0x01); // 开启 DPL_P0 spi_rw_reg(setup_retr, 0x15); // 自动重发延时等待 500us, 自动重发 5 次 spi_rw_reg(rf_ch, 0x40); // 选择射频信道 spi_rw_reg(rf_setup, 0x0e); // 数据传输率 2Mbps 及功率 spi_rw_reg(config, 0x0e); // 配置为发射模式 CRC 可屏蔽中断 CE = 1; 接收方配置 : spi_write_buf(rx_addr_p0, TX_ADDRESS, 5); // 接收通道 0 地址和发射地址相同 spi_rw_reg(en_rxaddr, 0x01); // 使能接收通道 0 spi_rw_reg(rf_ch, 0x40); // 选择射频信道 spi_rw_reg(rx_pw_p0, TX_PLOAD_WIDTH); // 设置负载长度, 使用 PIPE0 接收 spi_rw_reg(setup_aw, 0x03); // 设置地址宽度为 5bytes spi_rw_reg( FEATURE, 0x04); // 使能动态负载 spi_rw_reg(dynpd, 0x01); // 开启 DPL_P0 spi_rw_reg(rf_setup, 0x0e); // 数据传输率 2Mbps 及功率 spi_rw_reg(config, 0x0f; // 配置为发射模式 CRC 可屏蔽中断 CE = 1; 模式二 :NOACK 通信 发射方配置 : spi_write_buf( TX_ADDR, TX_ADDRESS, 5); // 写入发送地址 spi_rw_reg( FEATURE, 0x01); // 使能 W_TX_PAYLOAD_NOACK 命令 spi_write_buf(w_tx_payload_noack, buf, TX_PLOAD_WIDTH); spi_rw_reg(setup_aw, 0x03); // 5 byte Address width spi_rw_reg( RF_CH, 0x40); // 选择射频通道 0x40 spi_rw_reg(rf_setup, 0x08); // 数据传输率 2Mbps spi_rw_reg( CONFIG, 0x0e); // 配置为发射模式 CRC 为 2Bytes CE = 1; // 写 FIFO

19 接收方配置 : spi_write_buf( RX_ADDR_P0, TX_ADDRESS, 5); // 接收地址 spi_rw_reg( EN_RXADDR, 0x01); // 使能接收通道 0 spi_rw_reg( RF_CH, 0x40); // 选择射频信道 spi_rw_reg( RX_PW_P0, TX_PLOAD_WIDTH); // 设置接收通道 0 负载数据宽度 spi_rw_reg( RF_SETUP, 0x08); // 数据传输率 2Mbps,-18dbm TX power spi_rw_reg( CONFIG, 0x0f); // 配置为接收方 CRC 为 2Bytes CE = 1; 模式三 : 接收方开启多个通道 动态负载 : spi_rw_reg(feature, 0x04); spi_rw_reg(dynpd, 0x3F) ; // 开启所有通道动态负载长度 spi_rw_reg(en_rxaddr, 0x3F); // 开启所有通道 spi_rw_reg(rf_ch, 0x40); // 选择射频通道 0x40 spi_rw_reg(setup_aw, 0x03); // 5 byte Address width spi_rw_reg(config, 0x0B); // 配置为接收方 CE = 1; 静态负载 : spi_rw_reg(rx_pw_p0, 0x20); // 设置通道 0 接收数据宽度 spi_rw_reg(rx_pw_p1, 0x20); spi_rw_reg(rx_pw_p2, 0x20); spi_rw_reg(rx_pw_p3, 0x20); spi_rw_reg(rx_pw_p4, 0x20); spi_rw_reg(rx_pw_p5, 0x20); spi_rw_reg(en_rxaddr, 0x3F); // 开启所有通道 spi_rw_reg(rf_ch, 0x40); // 选择射频通道 0x40 spi_rw_reg(setup_aw, 0x03); // 设置地址宽度 spi_rw_reg(config, 0x0F); // 配置为接收方 CE = 1;

芯片主要特性:

芯片主要特性: 超低功耗高性能 2.4GHz GFSK 无线收发芯片 主要特性 工作在 2.4GHz ISM 频段 调制方式 :GFSK/FSK 数据速率 :2Mbps/1Mbps/250Kbps 超低关断功耗 :

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

#include <reg51

#include <reg51 RF24L01 无线通讯模块 开发指南 作者 刘春伟胡文明 一 模块介绍 RF24L01 模块有两个型号 :RF24L01B 和 RF24L01SE RF24L01B(PCB 板载天线 ) ( 尺寸 :37mm*18mm*1.6mm) RF24L01SE ( 外置天线 )( 尺寸 :30mm*18mm*1.6mm) RF24L01 特点 : (1) 2.4Ghz 全球开放 ISM 频段免许可证使用

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

内置协议及链路层、具有六路接收通道

内置协议及链路层、具有六路接收通道 PTR6100 2.4GHz 频段 2Mbps 高速嵌入式无线数传模块内置自动重发 自动应答功能及链路层协议内置多层 FIFO 地址匹配 CRC 效验 一 产品特性 2.4GHz 全球开放 ISM 频段, 最大 0dBm 发射功率, 免许可证使用 支持六路通道的数据接收 低工作电压 :1.9~3.6V 低电压工作 高速率 :2Mbps/1Mbps/250Kbps, 由于空中传输时间很短, 极大的降低了无线传输中的碰撞

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

内置协议及链路层、具有六路接收通道

内置协议及链路层、具有六路接收通道 SUNSTAR 商斯达实业集团是集研发 生产 工程 销售 代理经销 技术咨询 信息服务等为一体的高科技企业, 是专业高科技电子产品生产厂家, 是具有 10 多年历史的专业电子元器件供应商, 是中国最早和最大的仓储式连锁规模经营大型综合电子零部件代理分销商之一, 是一家专业代理和分銷世界各大品牌 IC 芯片和電子元器件的连锁经营綜合性国际公司 在香港 北京 深圳 上海 西安 成都等全国主要电子市场设有直属分公司和产品展示展销窗口门市部专卖店及代理分销商,

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP 2 2

MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP   2 2 FH-RFSS321-I (TD-SCDMA ) TD-SCDMA / MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP http://www.beijing-wri.com 2 2 1...7 1.1...7 1.2...7 1.3...7 1.4...8 1.5...9 1.6...10 1.7...10 1.8

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

Cost_Effective C Handbook

Cost_Effective C Handbook BC66F8x0 RF Transceiver Demo Code Description 文件编码 :AN0359S 概述 BC66F8x0 是一款集成 MCU + 2.4GHz RF Transceiver 的 SIP, 通过 SPI 传输方式在 RF Transceiver 与主控 MCU 之间进行控制与数据的交换 由于是 RF Transceiver, 所以可进行 无线的双向传输, 可适用于多种家电

More information

目 录 第 一 章 :WLAN485 简 介... 1 1.1 WLAN485 介 绍... 1 1.2 WLAN485 硬 件 简 介... 2 1.3 使 用 说 明... 2 第 二 章 : 快 速 开 发 指 南... 4 2.1 编 译 软 件 的 使 用... 4 2.1.1 IAR 下

目 录 第 一 章 :WLAN485 简 介... 1 1.1 WLAN485 介 绍... 1 1.2 WLAN485 硬 件 简 介... 2 1.3 使 用 说 明... 2 第 二 章 : 快 速 开 发 指 南... 4 2.1 编 译 软 件 的 使 用... 4 2.1.1 IAR 下 NewMsg-WLAN485 无 线 开 发 套 件 说 明 书 V3.1 RFC30H 篇 杭 州 威 步 科 技 有 限 公 司 2009.5.2 目 录 第 一 章 :WLAN485 简 介... 1 1.1 WLAN485 介 绍... 1 1.2 WLAN485 硬 件 简 介... 2 1.3 使 用 说 明... 2 第 二 章 : 快 速 开 发 指 南... 4 2.1 编 译 软

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

MG115 BLE iBeacon

MG115 BLE iBeacon MG127 BLE Transceiver Application Note Revision History: Rev. No. History Issue Date Remark 0.1 Initial issue April 29, 2016 Preliminary 0.2 Update ch10 about PCB July 11, 2016 Preliminary 0.3 Update MISO

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

untitled

untitled FF PID FF Profibus I/O FF EPC DCS FF D PFD/P&ID H1 / EPC FF FF C A B D A C B FF FF FF FF DCS FF FF H1 FAT EPC DCS /FF 11 FAT DCS/FF FAT SAT EPC FF DCS DCS DCS FF FF / / DCS / CPU / / I/O FF EPC FF DCS

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074>

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074> e-trace 132 1617 1872 p32-12 1/71 2/71 . GPS AIST 17 1-12 p32-39 3/71 GPS NMIJGPSGPS time GPS #N 1 GPS #N 2 GPS GPS #N 3 TA TA GPS _ time TA T T GPS _ time T T AB B A B T T T T ( T TB) B A B B A 4/71 16

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

<4D F736F F D20CBABC1FA DA3A8BAACB6C1D0B44D31A3A9C4A3BFE9D7CAC1CF B0E62E646F63>

<4D F736F F D20CBABC1FA DA3A8BAACB6C1D0B44D31A3A9C4A3BFE9D7CAC1CF B0E62E646F63> SL-1356MOD-SU 射 频 卡 读 写 模 块 使 用 说 明 一. 概 述 双 龙 公 司 针 对 支 付 系 统 公 共 交 通 门 禁 锁 具 设 备 管 理 二 代 身 份 证 等 行 业 推 出 SL-1356MOD-SU 射 频 卡 读 写 模 块 该 模 块 全 面 支 持 ISO14443 A/B -1-2 -3-4 标 准, 适 用 于 读 写 各 种 符 合 ISO14443

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

产品订购信息 型号 HW2000NF HW2000SD HW2000RD 封装 QFN20 SOP16 SSOP16 HW2000CZ Dice( 裸片 ) : 中国上海市龙漕路 299 号天华信息科技园 2A 楼 5 层邮编 : 电话

产品订购信息 型号 HW2000NF HW2000SD HW2000RD 封装 QFN20 SOP16 SSOP16 HW2000CZ Dice( 裸片 ) : 中国上海市龙漕路 299 号天华信息科技园 2A 楼 5 层邮编 : 电话 2.4G Transceiver HW2000 数据手册 产品简介 数据手册 产品规格 上海东软载波微电子有限公司 2015 年 9 月 16 日 V1.3 1/54 产品订购信息 型号 HW2000NF HW2000SD HW2000RD 封装 QFN20 SOP16 SSOP16 HW2000CZ Dice( 裸片 ) : 中国上海市龙漕路 299 号天华信息科技园 2A 楼 5 层邮编 :200235

More information

Microsoft PowerPoint - ............2003

Microsoft PowerPoint - ............2003 手 机 设 计 概 述 ( 一 ) 目 录 数 字 手 机 原 理 框 图 手 机 供 电 系 统 框 图 GSM 手 机 设 计 CDMA 手 机 设 计 手 机 功 率 放 大 器 设 计 手 机 电 路 组 成 Base-Band Subsystem (Digital) RF Subsystem (Analog) Antenna 占 1/3 占 2/3 数 字 手 机 原 理 框 图 手 机

More information

B1B1z_ch_print.pdf

B1B1z_ch_print.pdf Since 1992 PLC B1/B1z www.fatek.com SoC PLC CPU (HLS) / FLASHSRAM BGA PLC SoC CPU I/O PCB SoC B1/ B1z PLC 01 SoC B1/B1z PLC PLC B1/B1z PLC B1/B1z PLC FBs PLC FBs PLC 02 03 04 AC 5 C 40 C 5 C 55 C -25 C

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

Comp-AC ACS to 2.2 kw

Comp-AC ACS to 2.2 kw Comp-AC ACS100 0.12 to 2.2 kw ACS 100 3BFE 64307622 R0125 3ABD00008839 C 2002 11 20 2000 ABB Industry Oy ACS 100 5 (U c+, U c- ) G! (U1, V1, W1 U2, V2, W2 U c+, U c- )! ACS400 ( RO1, RO2, RO3)! ACS100!

More information

HW2000 数据手册 2.4G Transceiver HW2000 数据手册 产品简介 数据手册 产品规格 上海东软载波微电子有限公司 2019 年 3 月 14 日 V1.1 1/51 版权所有 上海东软载波微电子有限公司

HW2000 数据手册 2.4G Transceiver HW2000 数据手册 产品简介 数据手册 产品规格 上海东软载波微电子有限公司 2019 年 3 月 14 日 V1.1 1/51 版权所有 上海东软载波微电子有限公司 2.4G Transceiver HW2000 数据手册 产品简介 数据手册 产品规格 上海东软载波微电子有限公司 2019 年 3 月 14 日 V1.1 1/51 产品订购信息 型号 HW2000NF HW2000SD HW2000RD 封装 QFN20 SOP16 SSOP16 HW2000CZ Dice( 裸片 ) : 中国上海市龙漕路 299 号天华信息科技园 2A 楼 5 层邮编 :200235

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

untitled

untitled FBC0409 V1.0 1.0 05.06.22 SIA 2005 SIA SIA SIA SIA SIA 114 86-24-23970133 HTTP://WWW.SIA.CN YANG@SIA.CN 2 ...5...5...6 PIN...6...7 1 CPU...8 2...8 4...8 5 DMA...9 7....9 8...9 9...10 A...10 B...10...11.

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

大同大學數位論文

大同大學數位論文 ZIGBEE SIMULATION AND IMPLEMENTION OF AUTOMATIC POWER LEVEL CONTROL FOR ZIGBEE (Jun-Hong Yang) (Prof. Ching-Kuen Lee) Thesis for Master of Science Graduate Institute of Communication Engineering Tatung

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

MENU SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC General Description Key Features Applications Key Para

MENU SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC General Description Key Features Applications Key Para SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC 1.1 General Description The SYD8821 is a low power and high performance 2.4GHz Bluetooth Low Energy SoC. SYD8821 integrates all Bluetooth smart devices

More information

逢 甲 大 學

逢 甲 大 學 Ultrasound radar system - i - - ii - The ultrasound radar system is on the basis of the Doppler Effect. In the incessant acoustic wave actuator, emitting to object. Some acoustic wave which impacted the

More information

WLAN 2

WLAN 2 1 WLAN 2 IEEE 802.11 HomeRF GSM/ GPRS CDMA 3 ( ) (Infrared) (Laser) (Microwave) (DSSS) (FHSS) (HomeRF) (Bluetooth) 4 ( ) IrDA (Direct-Beam IR, DB/ IR) (Diffuse IR, DF/ IR) (Ominidirectional IR, Omini/

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

第三章思考题

第三章思考题 01. 0. 04. 05. 0. 07. 08. 09.. 11. 1. 13. -1 0 1 14. 15. 1. 17. 18. 0.. 3. 4. 5.. 7. 8. 9. 30. 31. 3.4(c 3.7(a 3.8 58 3. 33. 34. 3.9 35. 3. IRA IRA? 37. 38. 39. 40. 41. 4. 43. 44. 45. 47. 48. 49. B M 50.

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

AW-03935-401_002_01.indd

AW-03935-401_002_01.indd 目 录 医 生 检 查 清 单...................................... 1 系 统 说 明.......................................... 1 适 应 症............................................ 2 禁 忌 症............................................

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

F&B 100%

F&B 100% F&B 100% V3.1 XMRY5000/8000... 1...1...3...4.....23...25 XMRY5000/8000 27 XMRY5000/8000 2.1 2.1.1 2.1.2 / 2.1.3 Pt100 Pt100.0 Pt10 Cu100 Cu50 K E S B J R T N 010mA 420mA 05V 15V 30350 060mV 060mV 05V 2.1.4

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

SUNSTAR 单片机专用电路 TEL: FAX: GHz PTR4000 无线嵌入式模块高速率 1Mbps 125 频点超小型超低功耗 一 产品特性 2.

SUNSTAR 单片机专用电路   TEL: FAX: GHz PTR4000 无线嵌入式模块高速率 1Mbps 125 频点超小型超低功耗 一 产品特性 2. 2.4GHz PTR4000 无线嵌入式模块高速率 1Mbps 125 频点超小型超低功耗 一 产品特性 2.4Ghz 全球开放 ISM 频段 免许可证使用 最高工作速率 1Mbps 高效 GMSK 调制 抗干扰能力强 特别适合工业控制场合 125 频道 满足多点通信和跳频通信需要 内置硬件 CRC 检错和点对多点通信地址控制 低功耗 1.9 3.6V 工作 Power down 模式下状态仅为 1uA

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

DreamStation CPAP DreamStation CPAP Pro DreamStation Auto CPAP

DreamStation CPAP DreamStation CPAP Pro DreamStation Auto CPAP DreamStation CPAP DreamStation CPAP Pro DreamStation Auto CPAP ... 1... 1... 1... 2... 2... 3... 3 Philips Respironics...3... 4 /...5... 6...6... 7... 8... 8...9... 9...10 Bluetooth...14...15...15...15...16...20...22...23...24...24...24...24...25...26...27

More information

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074>

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074> 射 频 与 数 模 混 合 类 高 速 PCB 设 计 课 题 内 容 理 清 功 能 方 框 图 网 表 导 入 PCB Layout 工 具 后 进 行 初 步 处 理 的 技 巧 射 频 PCB 布 局 与 数 模 混 合 类 PCB 布 局 无 线 终 端 PCB 常 用 HDI 工 艺 介 绍 信 号 完 整 性 (SI) 的 基 础 概 念 射 频 PCB 与 数 模 混 合 类 PCB

More information

untitled

untitled MC8630 MC8630 1.6 MC8630 C MC8630 Copyright 2008 by ZTE Corporation 2008 12 1 1 MC8630 CDMA/GPRS 1 2 3PCB 4 E-MAIL module.ztemt.com.cn module@zte.com.cn 0755-86140899 2 MC8630 MC8630 C MC8630 C 5 V1.1

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

ISO h.PDF

ISO h.PDF 1 2 ID-1 IC IC 2 GB/T 14916 1994 - GB/T 16649.1 1996 - - 1 : GB/T 16649.2 1996 - - 2 : GB/T 16649.3 1996 - - 3 : ISO/IEC 7816 4 1995 - - 4 : ISO/IEC 7816 5 199 5 - - 5 :. 3 i 3 4 5 data cold reset 6 4

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

罗姆的电源模块

罗姆的电源模块 可简单构成24V/15V/12V/5V电源 罗姆的电源模块 无需电路设计 罗姆半导体集团 省电 无需热设计 节省空间 IoT() AC/DC 1 / 1 1 18kWh/ 8kWh/ 1,440/ 5.5W 5.0W 12.5W 5.0W LDO DC/DC 0 20 40 60 80 10 (%) LOAD REGULATION(DC/DC Only) LDO 10 LDO 50 DC/DC BP5293-50

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information

50-FB23-24_BES_V_ z1_ b

50-FB23-24_BES_V_ z1_ b CPX CPX-FB23, CPX-FB23-24 CC-Link 1411b [8042126] CPX-FB23, CPX-FB23-24 P.BE-CPX-FB23-24-ZH CC-Link Mitsubishi TORX : : : 1. 2 Festo P.BE-CPX-FB23-24-ZH 1411b CPX-FB23, CPX-FB23-24 CPX-FB23, CPX-FB23-24

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc AirTrack T600 http://www.netac.com.cn Netac Netac AirTrack OnlyDisk Netac Netac Netac http://www.netac.com.cn Netac 800-830-3662 FCC 15 B 1 2 3 4 / FCC 20cm 1 2 3 / / ...1 1.1...1 1.2...1 1.3...1 1.4...3...4

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

Tel: , Fax: STR-30 STR bps 2400bps 4800bps 9600bps 19200bps 38400bps 76800bps

Tel: , Fax: STR-30 STR bps 2400bps 4800bps 9600bps 19200bps 38400bps 76800bps Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TL +86-021-50273226 50807785 13816690692 FX:+86-021-50807785-807 167 -mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information