Microsoft Word - Ch03-1.doc

Size: px
Start display at page:

Download "Microsoft Word - Ch03-1.doc"

Transcription

1 組合邏輯 3-1 基本邏輯閘 3-2 加法器 3-3 減法器 3-4 編碼器與解碼器 3-5 多工器與解多工器 3-6 二進制轉 BCD 碼數碼轉換器 3-7 動動腦組合邏輯練習

2 數位邏輯電路主要分為組合邏輯 (Combinational Logic) 與順序邏輯 (Sequential Logic) 組合邏輯 的輸出只與其當時的輸入有關, 順序邏輯 的輸出除了與其當時的輸入有關外, 也與過去的輸入及輸出有關 組合邏輯電路主要是由基本邏輯閘及輸出入變數所組成, 以下各節將分別介紹組合邏輯之基本及應用電路 3-1 基本邏輯閘 數位電路可由各種邏輯閘所組成, 瞭解了各種基本邏輯閘的功能後, 便可藉由基本閘來設計各種電路, 以下我們將分別介紹的基本邏輯閘有及閘 (AND gate) 或閘(OR gate) 反閘(NOT gate) 反及閘(NAND gate) 反或閘(NOR gate) 互斥或閘 (XOR gate) 互斥反或閘(XNOR gate) 二輸入 AND 閘 由真值表可知輸入端有兩隻腳 A B, 輸出端有一隻腳 Y, 當 AB 輸入為 00,01,10,11 時, 輸出 Y 分別為 0,0,0,1 及閘的意義為所有輸入端皆為 1 時, 其輸出端方為 1, 否則為 0 布林函數 : Y=AB 符號 : 真值表 輸入 輸出 A B Y 圖

3 第 3 章 組合邏輯 圖 AND 閘 圖 AND 閘實驗電路圖 二輸入 OR 閘 由真值表可知輸入端有兩隻腳 A B, 輸出端有一隻腳 Y 當 AB 輸入為 00,01,10,11 時, 輸出 Y 分別為 0,1,1,1 或閘的意義為只要有一輸入端為 1 時, 其輸出端即為 1 3-3

4 布林函數 : Y=A+B 符號 : 真值表 輸入 輸出 A B Y 圖 NOT 閘 由真值表可知輸入端有一隻腳 A, 輸出端有一隻腳 Y, 當 A 輸入為 0,1 時, 輸出 Y 分別為 1,0 反閘的意義為輸出端的值與輸入端相反 布林函數 : _ Y=A 符號 : 真值表輸入輸出 A Y 圖 二輸入 NAND 閘 由真值表可知輸入端有兩隻腳 A B, 輸出端有一隻腳 Y, 當 AB 輸入為 00,01,10,11 時, 輸出 Y 分別為 1,1,1,0 反及閘的意義為所有輸入端皆為 1 時, 其輸出端方為 0, 否則為 1 亦可說只要有一輸入為 0, 輸出即為 1 3-4

5 第 3 章 組合邏輯 布林函數 : Y=AB 符號 : 真值表 輸入 輸出 A B Y 圖 二輸入 NOR 閘 由真值表可知輸入端有兩隻腳 A B, 輸出端有一隻腳 Y, 當 AB 輸入為 00,01,10,11 時, 輸出 Y 分別為 1,0,0,0 反或閘的意義為只要有一輸入為 1, 輸 出即為 0, 否則為 1 亦可說所有輸入端皆為 0 時, 其輸出端方為 1 布林函數 : Y=A+B 符號 : 真值表 輸入 輸出 A B Y 圖 二輸入 XOR 閘 由真值表可知輸入端有兩隻腳 A B, 輸出端有一隻腳 Y, 當 AB 輸入為 00,01,10,11 時, 輸出 Y 分別為 0,1,1,0 互斥或閘的意義為輸入端的接腳中 1 的個數為奇數時, 其輸出端為 1, 反之為 0 3-5

6 布林函數 : Y=A B= AB+AB 符號 : 真值表 輸入 輸出 A B Y 圖 二輸入 XNOR 閘 由真值表可知輸入端有兩隻腳 A B, 輸出端有一隻腳 Y, 當 AB 輸入為 00,01,10,11 時, 輸出 Y 分別為 0,0,0,1 互斥反或閘的意義為輸入端的接腳中 1 的個數為奇數時, 其輸出端為 0, 反之為 1 布林函數 : Y=A B=AB+AB 符號 : 真值表 輸入 輸出 A B Y 加法器 圖 我們要設計數位電路來幫我們解決問題, 可由算數運算開始, 其中加法運算可謂最基本計算 二進制的加法運算為 0+0=0 0+1=1 1+0=1 1+1=10; 其中 1+1=10 中的 10 等於十進制中的 2, 因二進制只有 0 1 兩種運算元, 所以要表示 2 時需有兩個位元表示, 較高位元為進位 (Carry), 較低位元為和 (Sum) 兩個 1 位元的變數的相加, 輸入端有兩個變數, 產生一個和與進位輸出的電路結 3-6

7 第 3 章 組合邏輯 構, 稱為半加器 (Half Adder; HA) 若在兩個變數相加時, 即考慮到上一個位元進位的問題, 則輸入端將有三個變數相加 ( 即相加的兩數和上一位元的進位 ), 同樣的產生一個和與一個進位的輸出, 此種電路結構稱為全加器 (Full Adder, FA) 半加器 兩個變數的相加, 用半加器即可完成, 真值表如下所示, 輸入數值有 A B 值, 分別代表相加的兩數,S 表示和,C 表示進位 真值表 輸入 輸出 A B S C 電路圖 圖 圖 半加器如圖 所示, 經由步驟 : 畫電路圖 存檔 設為工作專案 指定 CPLD 晶片 編譯 規劃腳位 編譯 下載燒錄 ( 若是非 ALTERA 的晶片下載前需轉檔 ) 後, 即完成電路設計製作, 此時可配合外部電路 ( 或實驗器模組, 需配合腳位規劃 ) 來驗證 3-7

8 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表 腳位對應關係 輸入 輸出 名 稱 被加數 (A) 加數 (B) 和 (S) 進位 (C) CPLD 晶片腳位 PIN 9 PIN 10 PIN 80 PIN 81 實驗器模組對應腳位 DIPB1 DIPB2 DG6(LED) DG7(LED) 圖 半加器實驗電路圖 全加器 ( 一 ) 三個變數的相加, 需用全加器才可完成, 一般是指相加的兩數之外, 尚有上一個位元的進位值 真值表如表 所示, 輸入方面有 A B 分別表示相加的兩數,Ci 表示上一個位元的進位數值, 輸出方面有 S 表示和,Co 表示進位 表 真值表 輸入 輸出 A B Ci S Co

9 第 3 章 組合邏輯 由真值表可知 S 與 Co 之布林函數分別如下 : S = ABCi + ABCi + ABCi + ABCi Co = ABCi + ABCi + ABCi + ABCi 上述是指未化簡前由真值表寫成的布林函數, 在 MAX+PLUSⅡ 中, 圖形編輯時不管有無化簡, 將其畫成電路圖後皆可正常編繹, 只要布林式正確, 電路即可正常工作, 如圖 所示, 全加器之 S 不化簡,Co 化簡, 完成之電路仍然可以正常動作 (MAX+PLUS II 會主動化簡電路, 所以繪圖時不用化簡電路, 反而可以增加可讀性 ) 圖 全加器電路圖 ( 一 ) 全加器 ( 二 )( 以製作元件方式完成 ) 三個變數的相加並非一定要用上述做法的全加器來完成, 也有另外一種做法, 就是先將兩數相加之後, 其結果再與另一個變數相加 即我們可以以半加器的組合來完成全加器的功能 如圖 所示, 規劃全加器時, 以兩個半加器的組合來完成全加器功能, 由真值表的驗證可知此電路完全符合, 輸出結果與上圖全加器 ( 一 ) 完全相同 3-9

10 圖 全加器電路圖 ( 二 ) 若要用半加器完成全加器之功能, 而電路圖的畫法是直接畫兩個半加器, 則這種以半加器完成全加器可謂意義不大 在 MAX+PLUS Ⅱ 中, 提供一種製造元件 (symbol) 的方法, 可將一些我們平時會重覆用到的電路, 變成單一個元件 (symbol), 則我們在使用之時, 只要叫出該元件 (symbol), 即可直接使用, 以下將以半加器為例, 介紹這種方法 製造半加器元件 (symbol): 1 開啟一個新圖形編輯檔, 繪製一個半加器的電路圖, 如圖 所示 2. 存檔, 取檔名為 half_adder.gdf 3. 編譯 圖 半加器 3-10

11 第 3 章 組合邏輯 4. 產生符號檔, 點選 File Create Default Symbol, 即可產生新的元件 IC( 半加器, 名稱 half_adder) 圖 執行製作自製元件視窗 5. 開啟新的圖形編輯檔, 此時即可取用所製作的元件 (half_adder.sym), 點選 Symbol Enter Symbol ( 或在編輯視窗按兩下 Double Click), 若出現如圖 之錯誤訊息時不要理它, 它只是說尚定義零件插入點而已, 按確定後, 即出現圖 零件取用視窗 圖 插入元件視窗 圖 錯誤訊息 3-11

12 圖 元件取用視窗 6. 取用半加器元件 (symbol): 進入剛才存放半加器的資料夾, 點選符號元件檔 (Symbol File) half_adder, 按 OK, 在圖形編輯視窗中, 即可看到該元件 ( 包裝後的半加器 ) 可在半加器元件上按兩下, 即可開啟其內部電路視窗 圖 自製半加器元件 3-12

13 第 3 章 組合邏輯 圖 半加器自製元件及內部電路設計半加器元件 (symbol) 完成後, 雖可叫出包裝起來的半加器 (half_adder), 但卻不知其功能是否正常如一般之半加器電路, 此時可用模擬功能測試一下 模擬步驟如下 : 1. 在新的編輯檔案中, 叫出該元件, 並叫出輸入輸出接腳且連接好線路後存檔 (Save As), 設為指定工作專案 (File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 圖 半加器自製元件電路 3-13

14 2. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time), 設定格線間距 (Options Grid Size), 顯示在視窗中適當大小格線 (View Fit in Window) 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 圖 視窗調整 3-14

15 第 3 章 組合邏輯 3. 儲存檔案 (Save As), 輸入節點 (Node Enter Nodes from SNF, 按 List 及, OK), 編輯輸入時脈 ( ) 圖 執行輸出入節點選擇視窗 圖 輸出入節點選擇視窗 圖 模擬波形設定 3-15

16 4. 點選 B, 再點選, 設定波形經每 1 個 grid size 轉態一次 (Multiplied By = 1); 點選 A, 再點選, 設定波形經每 2 個 grid size 轉態一次 (Multiplied By = 2) 圖 時脈信號設定視窗 圖 模擬波形設定 圖 時脈信號設定視窗 3-16

17 第 3 章 組合邏輯 圖 模擬波形設定 5. 執行模擬 MAX+PLUSⅡ Simulator,Start 如圖 所示, 模擬沒有錯誤及警告, 所得波形模擬結果符合半加器元件, 代表我們製作的自製元件是正確可用的 圖 執行模擬 圖 模擬起始視窗 3-17

18 圖 模擬訊息視窗 圖 模擬結果 做完半加器元件 (symbol), 再以半加器元件的組合來完成全加器功能, 如圖 所示, 讀者可自行繪圖及模擬功能是否正常 圖 以半加器元件完成全加器電路圖 3-18

19 第 3 章 組合邏輯 全加器三 ( 以半加器自製元件組成全加器自製元件 ) 完成了用半加器元件做成全加器後, 可以再將這樣的電路完成另外一個全加器的元件, 這樣以後需要用到全加器時, 只需再將這樣的元件叫出來, 即可使用 因為在用 MAX+PLUSⅡ 設計電路時, 其編譯過程會產生很多檔案, 檔案與檔案之間有很多連結關係, 所以如果要用既有的自製元件完成另一個自製元件時, 要特別注意一件重要的事, 就是要將那些既有的自製元件的圖形檔 (graphic editor file,*.gdf) 以及元件檔 (symbol editor file, *.sym) 複製到一個新的資料夾, 然後在該資料夾上儲存新的自製元件, 如此既可簡單的分類自製元件檔案, 且可避免那些舊有自製元件的檔案在產生新檔案時, 造成連結上的錯誤 要以自製的半加器元件 (symbol) 做出全加器元件時, 步驟如下 : 1. 建立一個新資料夾, 取名 full_adder3, 複製事先製妥半加器元件的圖形檔 (half_adder.gdf) 以及元件檔 (half_adder.sym) 至該資料夾 2. 執行 MAX+PLUSⅡ 軟體, 開啟新的圖形編輯檔, 叫出儲存 full_adder3 資料夾的半加器元件檔 half_adder.sym, 利用它配合邏輯閘完成全加器繪圖, 存檔於 full_adder3 資料夾內 如圖所示, 在此取名全加器元件圖形檔案名稱為 full_adder 圖 零件取用視窗 3-19

20 圖 半加器自製元件組成全加器電路圖 圖 儲存檔案視窗 3. File Project Set Project to Current File 4. 編譯 5. 產生符號檔, 點選 File Create Default Symbol, 即可產生新的元件 (symbol) ( 全加器, 名稱 full_adder)( 檔名 :full_adder.sym) 6. 開啟新的圖形編輯檔, 此時即可取用所製作的元件 (symbol) (half_adder), 點選 Symbol Enter Symbol( 或在編輯視窗按兩下 Double Click), 按確定後, 3-20

21 第 3 章 組合邏輯 即出現零件取用視窗, 選擇剛才所建立之新資料夾 full_adder3 即可發現已產生一個新的元件檔 full_adder.sym, 選擇此元件即可在圖形編輯視窗中使用它 圖 取用元件視窗 圖 全加器自製元件 設計全加器元件 (symbol) 完成後, 即可叫出包裝起來的全加器 (half_adder), 要知道其是否可正常執行, 可執行模擬功能測試 3-21

22 模擬步驟如下 : 1. 在新的編輯檔案中, 叫出該元件 (symbol), 並叫出輸入輸出接腳且連接好線路後存檔 (Save As), 如圖 儲存在 full_adder3 資料夾, 檔名 full_adder3.gdf 設為指定工作專案(File Project Set Project to Current File), 指定 CPLD 晶片 (Assign Device), 並編譯 (MAX+PLUSⅡ Compiler) 圖 全加器自製元件電路圖 圖 另存新檔視窗 3-22

23 第 3 章 組合邏輯 2. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time), 設定格線間距 (Options Grid Size), 顯示在視窗中適當大小格線 (View Fit in Window) 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 圖 視窗調整 3-23

24 1. 儲存檔案 (Save As), 檔名 full_adder3.scf 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入時脈 ( ) 圖 儲存檔案視窗 圖 執行輸出入節點選擇視窗 圖 輸出入節點選擇視窗 3-24

25 第 3 章 組合邏輯 圖 模擬波形設定 4. 點選 Ci, 再點選, 設定波形經每 1 個 grid size 轉態一次 (Multiplied By = 1); 點選 B, 再點選, 設定波形經每 2 個 grid size 轉態一次 (Multiplied By = 2); 點選 A, 再點選, 設定波形經每 4 個 grid size 轉態一次 (Multiplied By = 4) 圖 時脈信號設定視窗 圖 時脈信號設定視窗 3-25

26 圖 執行模擬 5. 執行模擬 (MAX+PLUSⅡ Simulator, 按下 Start) 如圖 所示, 模擬沒有錯誤及警告, 所得波形模擬結果符合全加器元件, 代表我們製作的元件 (symbol) 是正確可用的 圖 模擬訊息視窗 圖 模擬結果 3-26

27 第 3 章 組合邏輯 四位元加法器 一般做加法工作時, 通常是多個位元相加, 若是單純用邏輯閘電路要設計這樣的電路, 那麼完成的電路圖肯定相當大, 也許用 CPLD 來完成電路可減少焊接的工作, 但是光要繪圖就相當複雜了 所以像這種有重覆性質的電路功能, 要先做單一的元件, 再重覆叫出這些元件來組成電路, 這樣才簡單且迅速 在此我們將以上一單元做成的全加器元件來完成四位元全加器的製作 C 3 C 2 C 1 C 0 A 3 A 2 A 1 A 0 B 3 B 2 B 1 B 0 C 3 S 3 S 2 S 1 S 0 四位元加法器運算如上所示,A0~A3 表示被加數四個位元,B0~B3 代表加數的四個位元, 其相加產生的進位 C0~C3 分別加入其次一位元的運算中, 產生的結果和為 S0~S3 以及最後的進位 C3 依照如此原則, 要以全加器元件製作四位元加法器, 第一個全加器的被加數及加數分別接 A0 及 B0, 其進位輸入端接地 ( 因為第一位元並無上一級的進位, 所以其值為 0) 第一個全加器的 S( 和 ) 輸出端直接是第一位元 S0( 和 ) 的輸出值, 進位輸出端 C0 則接到第二個加法器的進位輸入端 第二個全加器則接被加數 A1 及加數 B1, 產生和 (S1) 輸出以及進位 (C1) 接到第三個加法器, 以此類推接完四個加法器 要使用既有的自製元件來完成新電路, 建議讀者建立新資料夾來儲存, 製作步驟如下 : 1. 建立新資料夾, 取名 4BITS_ADDER, 並複製上一單元製作全加器元件所完成繪圖檔 (full_adder.gdf) 及元件檔 (full_adder.sym) 儲存於該資料夾 因為製作全加器元件時是使用半加器元件來製作, 所以連帶要複製半加器元件的繪圖檔 (half_adder.gdf) 及元件檔 (half_adder.sym) 儲存於該資料夾內 2. 執行 MAX+PLUSⅡ 軟體, 開啟新的圖形編輯檔, 叫出儲存 4BITS_ADDER 資料夾的全加器元件檔 full_adder.sym, 利用它配合邏輯閘完成四位元全加器繪圖, 如圖 所示 3-27

28 圖 零件取用視窗 圖 全加器自製元件如圖 叫出四個全加器元件, 依四位元全加器電路繪圖, 在此注意一點, 因為同樣類型的線路不少, 可利用匯流排 (Bus) 的畫法以減少線路複雜度, 且較為簡單 匯流排畫法是用較粗的線表示匯流排, 並需以匯流排方式命名, 匯流排分支的每單一導線需用細線, 且需配合匯流排命名 如圖 所示, 匯流排命名需用中括號, 四個全加器皆有 A 輸入, 用一條四線的匯流排來取代分別畫四條線, 在此有 0,1,2,3 四條線, 取名匯流排名稱 A[3..0], 點選線路, 該線會變成紅色, 按下滑鼠右鍵, 選擇 Line Style 中較粗的線來當匯流排, 選擇線 3-28

29 第 3 章 組合邏輯 路時也可使用 Options Line Style 來更換線的型態 圖 四位元加法器電路 圖 四位元加法器電路 3-29

30 3. 畫完匯流排主線仍需畫連接到各接點的細線, 每一條細線都需配合主線命名, 可用滑鼠點選該線, 其即變成紅色, 此時可直接輸入名稱, 在此要特別注意一點, 當輸入名字後, 點選該線時, 該線以及名字都會變成紅色, 表示有被選取到, 此時命名才算成功 假若點選該線而名字沒有被選取到, 則表示該線未被命名, 線旁的文字只是文字而非該線的名稱 圖 四位元加法器電路 4. 畫匯流排的最末端線路時, 當其變成單一條線時, 仍需用細線表示, 如圖 所示 3-30

31 第 3 章 組合邏輯 圖 四位元加法器電路 5. 點選 Symbol Enter Symbol, 輸入 GND 即可得到接地符號, 用來連接線路接地用 如圖 所示, 完成四位元全加器之繪圖 3-31

32 圖 元件取用視窗 圖 四位元加法器電路 3-32

33 第 3 章 組合邏輯 圖 四位元加法器電路 6. 點選 File Save As, 存檔於 4BITS_ADDER 資料夾內 在此取名四位元加法器圖形檔案名稱為 4bits_adder 7. File Project Set Project to Current File 8. 指定 CPLD 晶片 (Assign Device) 9. 編譯 (MAX+PLUS Ⅱ Compiler) 設計完四位元全加器後, 要知道其是否可正常執行, 可執行模擬功能測試 模擬步驟如下 : 1. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time), 在此設 256μs; 設定格線間距 (Options Grid Size), 在此設 1μs; 顯示在視窗中適當大小格線 (View Fit in Window) 圖 開啟新檔視窗 3-33

34 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 圖 視窗調整 2. 儲存檔案 (Save As), 檔名 4bits_adder.scf 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入計數器 ( ) 圖 儲存檔案視窗 3-34

35 第 3 章 組合邏輯 圖 執行輸出入節點選擇視窗 圖 輸出入節點選擇視窗 圖 模擬波形設定 3-35

36 3. 點選 A[3..0], 再點選, 設定波形經每 1 個 grid size 轉態一次 (Multiplied By = 1), 且每次轉態時計數器數值增加 1 (Increment By = 1), 以二進制 (Binary) 表示 ; 點選 B[3..0], 再點選, 設定波形經每 16 個 grid size 轉態一次 (Multiplied By = 16), 且每次轉態時計數器數值增加 1 (Increment By = 1), 以二進制 (Binary) 表示 圖 時脈信號設定 圖 時脈信號設定視窗 圖 模擬波形設定 3-36

37 第 3 章 組合邏輯 圖 計數信號設定視窗 圖 執行模擬 4. 執行模擬 (MAX+PLUSⅡ Simulator, 按下 Start) 如圖 所示, 模擬沒有錯誤及警告, 所得波形模擬結果符合四位元全加器電路之結果, 代表我們製作的電路是正確可用的 在此說明模擬結果, 因為是四位元加法器, 所以被加數 A[3..0] 有四位元, 16 種數值組合 (0000~1111 代表 0~15), 加數 B[3..0] 亦有四位元, 同樣 16 種數值組合 (0000~1111 代表 0~15) 兩數相加則有 16 16=256 種組合, 所以模擬之時間設定從 0~256μs,Grid Size=1μs, 可模擬 256 種狀態 且被加數設定每 1μs 轉態一次 ( 從 0 開始 ), 因為被加數有 16 種組合, 所以加數設定每 16μs 狀態一次 ( 從 0 開始 ), 剛好也可將加數的 16 種狀態表示出來 (256μs /16μs =16), 如此便可顯示所有狀態的模擬結果 3-37

38 圖 模擬起始視窗 圖 模擬訊息視窗 圖 模擬結果 3-38

39 第 3 章 組合邏輯 5. 可用來調整適當格子大小, 觀測各時間點之波形是否正確 例如在第 17~18μs 時,A[3..0] 輸入 1;B[3..0] 輸入 1; 兩數相加可得和 S[3..0] = 2; 進位 Co = 0; 表示沒有進位, 符合十六進制加法運算結果 又例如在第 79~80 μs 時,A[3..0] 輸入 F( 即十進制 15),B[3..0] 輸入 4, 兩數相加可得和 S[3..0] = 3, 進位 Co = 1, 表示有進位, 符合二進制四位元加法器運算結果, 可知模擬結果正確 圖 模擬結果 模擬成功之後, 可下載 ( 燒錄 ) 到實驗板做實際電路測試, 以下為接到尼德公司實驗板的接腳表格, 讀者可以按表中接腳設定以完成硬體電路測試 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表 腳位對應關係 輸入 輸出 名稱 被加數 A[3..0] 加數 B[3..0] 和 S[3..0] 進位 Co CPLD 晶片腳位 PIN 24,22,21,20 PIN 12,11,10,9 PIN 76,75,74,73 PIN 77 實驗器模組對應腳位 DIPA 4,3,2,1 DIPB 4,3,2,1 DG 3,2,1,0 DG4 實驗器輸入接腳有被加數 A[3..0] 四支腳, 可用指撥開關 DIPA4~DIPA1 來表示, 加數 B[3..0] 四支腳, 可用指撥開關 DIPB4~DIPB1 來表示 輸出端有和 S[3..0] 四支腳及進位 C0 一支腳可用綠色發光二極體 DG3~DG0 以及 DG4 來表示 八位元加法器 完成四個位元相加, 單純用邏輯閘電路設計與用自製全加器元件來設計的差別, 相信讀者已看出來, 使用自製全加器使電路有相當多的簡化 除了四位元加法器可簡單完成, 對於八位元加法器, 一樣可用同樣的方式來製作, 在此我們將以上一單元做成的全加器元件來完成八位元全加器的製作 3-39

40 C7 C6 C5 C4 C3 C2 C1 C0 A7 A6 A5 A4 A3 A2 A1 A0 + B7 B6 B5 B4 B3 B2 B1 B0 C7 S7 S6 S5 S4 S3 S2 S1 S0 八位元加法器運算如上圖所示,A0~A7 表示被加數八個位元,B0~B7 代表加數的八個位元, 其相加產生的進位 C0~C7 分別加入其次一位元的運算中, 產生的結果和為 S0~S7 以及最後的進位 C7 依照如此原則, 要以全加器元件製作八位元加法器, 第一個全加器的被加數及加數分別接 A0 及 B0, 其進位輸入端接地 ( 因為第一位元並無上一級的進位, 所以其值為 0) 第一個全加器的 S( 和 ) 輸出端直接是第一位元 S0( 和 ) 的輸出值, 進位輸出端 C0 則接到第二個加法器的進位輸入端 第二個全加器則接被加數 A1 及加數 B1, 產生和 (S1) 輸出以及進位 (C1) 接到第三個加法器, 以此類推接完八個加法器, 與四位元加法器極為類似 與四位元加法器相同方式, 只要是使用既有的自製元件來完成新電路, 皆建議使用者建立新資料夾來儲存, 製作步驟如下 : 1. 建立新資料夾, 取名 8bits_adder, 並複製前面單元製作全加器元件所完成繪圖檔 (full_adder.gdf) 及元件檔 (full_adder.sym) 儲存於該資料夾 因為製作全加器元件時是使用半加器元件來製作, 所以連帶要複製半加器元件的繪圖檔 (half_adder.gdf) 及元件檔 (half_adder.sym) 儲存於該資料夾內 圖 元件取用視窗 3-40

41 第 3 章 組合邏輯 圖 八位元加法器電路 2. 執行 MAX+PLUSⅡ 軟體, 開啟新的圖形編輯檔, 叫出儲存 8bits_adder 資料夾的全加器元件檔 full_adder.sym, 利用它配合邏輯閘完成八位元全加器繪圖, 如圖 所示 ( 因為電路圖甚大, 讀者若在書本上看不清楚, 可在隨書附贈光碟的 8bits_adder 資料夾內找到此檔 ) 圖 八位元加法器電路 3-41

42 圖 八位元加法器電路 3. 點選 File Save As, 存檔於 8bits_adder 資料夾內 在此取名四位元加法器圖形檔案名稱為 8bits_adder 4. File Project Set Project to Current File 5. 指定 CPLD 晶片 (Assign Device) 圖 執行指定 CPLD 元件視窗 3-42

43 第 3 章 組合邏輯 圖 指定 CPLD 元件視窗 6. 編譯 (MAX+PLUS Ⅱ Compiler) 設計完成八位元全加器後, 要知道其是否可正常執行, 可執行模擬功能測試 模擬步驟如下 : 1. 開啟新的波形編輯檔案, 設定功能模擬結束時間 (File End Time), 在此設 256μs; 設定格線間距 (Options Grid Size), 在此設 1μs; 顯示在視窗中適當大小格線 (View Fit in Window) 圖 開啟新檔視窗 圖 模擬結束時間設定視窗 圖 模擬單位時間設定視窗 3-43

44 圖 視窗調整 2. 儲存檔案 (Save As), 檔名 8bits_adder.scf 輸入節點 (Node Enter Nodes from SNF, 按 List 及,OK), 編輯輸入計數器 ( ) 圖 儲存檔案視窗 圖 執行輸出入節點選擇視窗 3-44

45 第 3 章 組合邏輯 圖 輸出入節點選擇視窗 圖 模擬波形設定 3. 點選 A[7..0], 再點選, 設定波形經每 1 個 grid size 轉態一次 (Multiplied By = 1), 且每次轉態時計數器數值增加 1 (Increment By = 1), 以二進制 (Binary) 表示 ; 點選 B[7..0], 再點選, 設定波形經每 256 個 grid size 轉態一次 (Multiplied By = 256), 且每次轉態時計數器數值增加 1 (Increment By = 1), 以二進制 (Binary) 表示 圖 模擬波形設定 3-45

46 圖 計數信號設定視窗 圖 計數信號設定視窗 圖 模擬波形設定 4. 執行模擬 (MAX+PLUSⅡ Simulator, 按下 Start) 如圖 所示, 模擬沒有錯誤及警告, 所得波形模擬結果符合八位元全加器電路之結果, 代表我們製作的電路是正確可用的 3-46

47 第 3 章 組合邏輯 在此說明模擬結果, 因為是八位元加法器, 所以被加數 A[7..0] 有八位元, 256 種數值組合 ( ~ 代表 0~255), 加數 B[7..0] 亦有八位元, 同樣 256 種數值組合 ( ~ 代表 0~255) 兩數相加則有 256*256 = 種組合, 所以模擬之時間設定從 0~65536μs,Grid Size=1μs, 可模擬 種狀態 且被加數設定每 1μs 轉態一次 ( 從 0 開始 ), 因為被加數有 256 種組合, 所以加數設定每 256μs 狀態一次 ( 從 0 開始 ), 剛好也可將加數的 256 種狀態表示出來 (65536μs /256μs =256), 如此便可顯示所有狀態的模擬結果 圖 執行模擬 圖 模擬訊息視窗 5. 可用 來調整適當格子大小, 觀測各時間點之波形是否正確 例如在第 1.278ms 時,A[7..0] 輸入 FEH;B[7..0] 輸入 04H; 兩數相加可得和 S[7..0] = 2; 進位 Co = 1; 表示有進位, 符合二進制八位元加法器運算結果, 可知模擬結 果正確 3-47

48 圖 模擬結果 模擬成功之後, 可下載 ( 燒錄 ) 到實驗板做實際電路測試, 以下為接到尼德公司實驗板的接腳表格, 讀者可以按表中接腳設定以完成硬體電路測試 表 電路圖輸出入腳位 CPLD 腳位及實驗器模組腳位對應表 腳位對應關係輸入輸出 名稱被加數 A[7..0] 加數 B[7..0] 和 S[7..0] 進位 Co CPLD 晶片腳位 實驗器模組對應腳位 PIN 29,28,27,25, 24,22,21,20 PIN 18,17,16,15, 12,11,10,9 PIN 81,80,79,77, 76,75,74,73 DIPA 8,7,6,5,4,3,2,1 DIPB 8,7,6,5,4,3,2,1 DG 7,6,5,4,3,2,1,0 PIN 61 DR0 實驗器輸入接腳有被加數 A[7..0] 八支腳, 可用指撥開關 DIPA8~DIPA1 來表示, 加數 B[7..0] 八支腳, 可用指撥開關 DIPB8~DIPB1 來表示 輸出端有和 S[7..0] 八支腳及進位 Co 支腳可用綠色發光二極體 DG7~DG0 及紅色發光二極體 DR0 來表示 3-48

Microsoft Word - Ch03-2.doc

Microsoft Word - Ch03-2.doc 3-3 減法器 有了加法的運算, 當然也要有減法運算 二進制的減法運算為 0-0=0 0-1=-1 1-0=1 1-1=0; 其中 0-1=-1 中的 -1 無法用二進制的 0 與 1 兩個數字表示, 一般用兩個位元表示, 一個是借位 (Borrow), 一個是差 (Difference) 當輸入端有兩個變數, 產生一個差與借位輸出的電路結構, 稱為半減器 (Half Subtractor; HS)

More information

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 圖形編輯設計法 圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 建立電路符號檔 1.執行 File Create/ Update Create Symbol Files for Current File 時 可將目前的半加法器建立一個電路符號 Halfadd.bsf 3 建立電路符號檔 2.利用 File Open 開啟Halfadd.bsf檔案時 便可查看所建 立的電路符號 Halfadd

More information

Microsoft Word - Ch04-1.doc

Microsoft Word - Ch04-1.doc 順序邏輯 4-1 正反器 4-2 非同步計數器 4-3 同步計數器 4-4 利用 IC 模組製作計數器 4-5 微分電路 4-6 防彈跳電路 4-7 動動腦順序邏輯練習 組合邏輯電路的輸出各種狀態僅與其電路當時的輸入有關, 而順序邏輯電路則是目前輸入 輸出狀態皆影響下一個輸入輸出狀態 它比組合邏輯電路多了一個含有記憶元件的回授網路, 使它上一步的輸出結果會影響到下一步, 順序邏輯電路中, 除了邏輯閘電路外,

More information

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (ND,OR,NOT,NND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 7 6 5 4 3 2 POWER U-3 STRIGHT HEDER HI :RED LO:NO DISPLY +V -V

More information

組合邏輯的設計

組合邏輯的設計 R R R LED RL 第一部份 : 組合邏輯的設計 步驟 :. 決定輸出入變數, 繪製方塊圖. 列出真值表. 化簡輸出函數 4. 繪製電路圖 範例 : 設計一個三人用表決電路, 當多數人贊成的時候, 輸出 LED 亮起, 否則熄滅 解答 :. 決定輸出入變數, 繪製方塊圖. 列出真值表. 化簡輸出函數 F ( )( )( ) F 4. 繪製電路圖 Vcc U U U4 範例 : 設計一個三輸入的加總電路,

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

Microsoft PowerPoint - chap04.ppt

Microsoft PowerPoint - chap04.ppt 第四章 組合邏輯 4- 組合電路 4-3 設計步驟 組合電路的設計. 由電路的敘述, 決定所需的輸入與輸出的個數並且對每一個輸入與輸出安排一個變數符號 2. 導出真值表並定義輸入與輸出間的關係 3. 對每一個輸出求出以輸入變數為函數之簡化的布林函數 4. 畫出邏輯圖並且證明設計的正確性 BCD 碼到超 3 碼轉換器 2 BCD 到超 3 碼卡諾圖 BCD 到超 3 碼電路圖 3 4-4 二進位加法器

More information

萬用閘的應用

萬用閘的應用 篇名 萬用閘的應用 作者 吳依珊 國立澎湖海事資訊科二年級甲班吳珮琪 國立澎湖海事資訊科二年級甲班王靜婷 國立澎湖海事資訊科二年級甲班 - 1 - 壹 前言 萬用閘的應用 電腦實際上並不會瞭解我們指派給它的任務及資訊, 藉由判斷每一個積體電路開或關的狀態, 並將這些訊號轉成 0 與 1 的的數位訊號, 組合成一組數字, 並轉換成欲執行的指令 現代的電腦系統以位元 (bit,binary digit)

More information

Microsoft Word - CH01-02.doc

Microsoft Word - CH01-02.doc 目錄 序言 第一篇組合邏輯基本概念與軟 / 硬體工具的認識 第一章組合邏輯的基礎概念 一 基本邏輯閘的真值表及其特性... 1-3 二 基本邏輯閘的相互取代... 1-6 三 邏輯電路的化簡... 1-9 第二章 CPLD 組合邏輯電路設計與軟 / 硬體模擬 QUARTUS II 軟體 數位邏輯設計認證 CPLD 燒錄實習板 一 QUARTUS II 環境的認識... 2-3 二 建立一個 CPLD

More information

題目預覽~教師專用

題目預覽~教師專用 CH10 積體電路一 選擇題 ( 每題 0.93 分, 共 29.76 分 : 1. D 在數位邏輯中, 反或閘的符號為 (A (B (C (D 2. A 右圖符號表示何種閘 (A 集極開路輸出 (B 射極開路輸出 (C 集極閉路輸出 (D 射極閉路輸出 3. B 右圖 DIP IC 頂視圖, 第一支接腳位置在 (AA 腳 (BB 腳 (CC 腳 (DD 腳 4. B 右圖 符號為 (AAND GATE

More information

保母人員丙級應檢資料第二部份 doc

保母人員丙級應檢資料第二部份 doc 15400903018 9 09 15 95 01 10 95 11 16 ...-3...4-9... 10...11-1...13-16...17-54... 55...56-64 1 5 3 154-90301154-9030 1 1 3 1 4 60 1 180 L 5 1 6 1 7 1 8 1 9 90 70 1 10 1 11 1 1 1 13 1 14 1 15 1 16 1 17

More information

Microsoft Word - Ch02-1.doc

Microsoft Word - Ch02-1.doc CPLD 工具軟體介紹 2-1 軟體取得 2-2 軟體安裝 2-3 軟體註冊 2-4 軟體使用 2-1 軟體取得 2-1-1 如何取得 MAX+PLUS II 軟體 因軟體更新速度極快, 要取得 MAX+PLUSⅡ 最新版本之軟體, 可上網到 ALTERA 公司網站上下載最新版, 下載步驟如下 : 1 打開瀏覽器 Internet Explorer 至 altera 公司網址 http://www.altera.com

More information

Microsoft Word - 第五章

Microsoft Word - 第五章 5 組合邏輯電路設計 算術運算電路 hpter hpter hpter hpter hpter hpter hpter hpter 概述 加 減 乘與除等四種算術運算在我們日常生活中, 用來處理數值運算之基本方法, 因此數位電路 (Dgtl rcut) 亦應具有這些基本算術運算功能, 才能用來幫助人類處理各種繁瑣之數值運算問題 數位電路僅能用來處理二進位 ( 二元性 ) 資料, 若引入補數 (omplement)

More information

工 序 的 是 ( ) A. 卷 筒 切 筒 装 药 造 粒 B. 搬 运 造 粒 切 引 装 药 C. 造 粒 切 引 包 装 检 验 D. 切 引 包 装 检 验 运 输 7. 甲 公 司 将 其 实 施 工 项 目 发 包 给 乙 公 司, 乙 公 司 将 其 中 部 分 业 务 分 包 给

工 序 的 是 ( ) A. 卷 筒 切 筒 装 药 造 粒 B. 搬 运 造 粒 切 引 装 药 C. 造 粒 切 引 包 装 检 验 D. 切 引 包 装 检 验 运 输 7. 甲 公 司 将 其 实 施 工 项 目 发 包 给 乙 公 司, 乙 公 司 将 其 中 部 分 业 务 分 包 给 2013 年 安 全 工 程 师 法 律 知 识 答 案 解 析 一 单 选 择 题 1. 某 省 人 大 常 务 委 员 会 公 布 实 施 了 某 省 安 全 生 产 条 例, 随 后 省 政 府 公 布 实 施 了 某 省 生 产 经 营 单 位 安 全 生 产 主 体 责 任 规 定, 下 列 关 于 两 者 法 律 地 位 和 效 力 的 说 法, 正 确 的 是 ( ) A. 某 省 安

More information

<4D F736F F D D332DA57CA7DEA447B14D2DB971BEF7B971A46CB873B8EAB971C3FEB14DA447B8D5C344>

<4D F736F F D D332DA57CA7DEA447B14D2DB971BEF7B971A46CB873B8EAB971C3FEB14DA447B8D5C344> 第一部份 : 數位邏輯. 有關 74 系列 TTL 數位 IC 之敘述, 下列何者錯誤? (A) 工作電壓範圍為 4.75 V~5.25 V (B) 開集極 (open-collector) 輸出結構, 可接為線接及閘 (Wired-AND Gate) 用 (C) 輸入接腳空接時, 視為高態輸入 (D) 輸出級的電晶體工作在主動區, 所以交換速度最快 2. 採用 2 的補數編碼的 8 位元數做減法運算,

More information

BCD 碼轉二進制碼 投稿類別 : 工程技術 篇名 : BCD 碼轉二進制碼 作者 : 粘家誠 高雄高級工業職業學校 資訊科三年甲班 指導老師 : 鄭鈞升老師 第 1 頁

BCD 碼轉二進制碼 投稿類別 : 工程技術 篇名 : BCD 碼轉二進制碼 作者 : 粘家誠 高雄高級工業職業學校 資訊科三年甲班 指導老師 : 鄭鈞升老師 第 1 頁 投稿類別 : 工程技術 篇名 : 作者 : 粘家誠 高雄高級工業職業學校 資訊科三年甲班 指導老師 : 鄭鈞升老師 第 1 頁 壹 前言 一 研究動機 BCD 碼是由十進位碼直接轉換得之, 而二進位碼則是唯一能被電腦或是電路上面判別的數字系統,BCD 碼和二進位碼兩個是截然不同的表示法,BCD 碼要轉換成二進位碼須先把 BCD 碼轉換為十進位碼之後, 再將十進位碼轉換成二進位碼, 我們自己在算術方面非常簡單,

More information

(Microsoft Word - wes _\246p\246\363\250\317\245\316LED\277O\305\343\245\334\252\254\272A.doc)

(Microsoft Word - wes _\246p\246\363\250\317\245\316LED\277O\305\343\245\334\252\254\272A.doc) 作者 Amber 版本 1.0.0 日期 2012/04/25 頁數 1/7 如何使用 LED 燈顯示狀態? 適用於 : 平台 作業系統版本 XPAC utility 版本 XP-8000 系列 N/A N/A XP-8000-Atom 系列 WES2009 所有版本 N/A: Not applicable to this platform and OS. 注意! 欲變更系統的任何設定之前, 請先關閉

More information

840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00

840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00 Excel - - Excel - -4-5 840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00 ( 0 ) 智慧標籤 相關說明提示 -5 -- Excel 4 5 6 7 8 + - * / % ^ = < >= & 9 0 (:) (,) ( ) Chapter - :,

More information

Microsoft Word - ACI chapter00-1ed.docx

Microsoft Word - ACI chapter00-1ed.docx 前言 Excel Excel - v - 財務管理與投資分析 -Excel 建模活用範例集 5 相關 平衡 敏感 - vi - 前言 模擬 If-Then 規劃 ERP BI - vii - 財務管理與投資分析 -Excel 建模活用範例集 ERP + BI + ERP BI Excel 88 Excel 1. Excel Excel 2. Excel 3. Excel - viii - 前言 1.

More information

B3B2B1B0 CA CB CC CD CE CF CG

B3B2B1B0 CA CB CC CD CE CF CG Lab7:7 段顯示器控制電路 [ 實驗說明 ] : 這一個範例將分成兩階段 首先使用 ISE12.4 先進行叫用 Seven_Segmenet.vhd 模組建立 4bit HEX 轉換 7 段顯示器控制電路練習 接著再使用 Lab1 所設計的 2:4 解碼器與 Lab4 四位元加法器電路利用 Schematic 階層式設計快速建立加法器和輸出到 7 段顯示器的控制電路 你將開啟一個 Seven_Segmenet_Adder.sch

More information

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9>

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9> 數位系統導論 蔡宗漢 (Tsung-Han Tsai) Dept. of E.E., N.C.U. 1 教學目標 : 1 了解數位電子電路的基本原理, 例如資訊的二進位系統 布林代數 2 了解數位電子電路的基本原件, 如 : 組合電路 循序電路 加法器 比較器 等等 授課大綱 : 1 數位邏輯的原理 2 元件的認識( 如 AND/OR 閘, 加法器 ) 3 數位邏輯功能單元 4 數位邏輯的設計 2

More information

實驗 使用 IPv4 和 IPv6 計算摘要路由 拓樸 位址分配表 子網 IPv4 位址 IPv6 位址 HQ 的 LAN / :DB8:ACAD:E::/64 HQ 的 LAN / :DB8:ACAD:F::/64 EAS

實驗 使用 IPv4 和 IPv6 計算摘要路由 拓樸 位址分配表 子網 IPv4 位址 IPv6 位址 HQ 的 LAN / :DB8:ACAD:E::/64 HQ 的 LAN / :DB8:ACAD:F::/64 EAS 拓樸 位址分配表 子網 IPv4 位址 IPv6 位址 HQ 的 LAN1 192.168.64.0/23 2001:DB8:ACAD:E::/64 HQ 的 LAN2 192.168.66.0/23 2001:DB8:ACAD:F::/64 EAST 的 LAN1 192.168.68.0/24 2001:DB8:ACAD:1::/64 EAST 的 LAN2 192.168.69.0/24 2001:DB8:ACAD:2::/64

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

新增Microsoft Word 文件.doc

新增Microsoft Word 文件.doc 篇名 : AND OR NOT 邏輯閘之探討 作者 : 彭佳伶 臺北市立松山工農 電子科三年仁班 指導老師 : 陳茂璋老師 壹 前言 剛開始上數位邏輯課, 就對邏輯閘這部分很感興趣, 為什麼呢? 一方面是因為, 現今許多 IC 裡包含著邏輯閘, 而那些 IC, 廣泛的應用在各種大大小小的電子產品中, 總之, 邏輯閘 其實就藏在家中的各式電器裡, 帶給我們更方便的生活, 讓我忍不住想了解這令人過得更舒適的小玩意兒究竟為何物,

More information

untitled

untitled 2009 1 30 3 850 46 2 200861 5 2007 200862 12 2008194 14 2008195 20 2008196 21 2008197 22 2008116 25 2008269 28 1 2008 12 31 25 50% 1987 9 28 2 30 25 20 15 3 30 25 20 15 4 200861 2008 12 17 5 2009 2012

More information

LCD模組之應用

LCD模組之應用 液晶顯示幕 LCD 模組之應用 第十三章 2018/11/30 例說 89S51-C 語言 13-1 本章內容 2018/11/30 例說 89S51-C 語言 13-2 1 液晶顯示幕 LCD 之日常應用 3 https://s.yimg.com/hg/pimg2/ae/60/p099374833664-item-2330xf2x0600x0600-m.jpg 液晶顯示幕 LCD 之日常應用 4

More information

注意:考試開始鈴(鐘、鼓)響或燈亮前,不可以翻閱試題本

注意:考試開始鈴(鐘、鼓)響或燈亮前,不可以翻閱試題本 注意 : 考試開始鈴響或綠燈亮前, 不可以翻閱試題本 107 學年度身心障礙學生升學大專校院甄試試題本 甄試類 ( 群 ) 組別 : 四技二專組 電機與電子群資電類 考試科目 ( 編號 ): 專業科目 ( 二 ) 數位邏輯 數位邏輯實習 電子學實習 計算機概論 (C2213) 作答注意事項 1. 考試時間 :90 分鐘 2. 請在答案卷上作答, 答案卷每人一張, 不得要求增補 3. 請核對報考甄試類

More information

封面-12

封面-12 第十二章 701Client TECHNOLOGY CO.,LTD. 701Client 701Server 701Client "701Client", 12-1 :supervisor :supervisor : 1. : 00~99 100 2. : 00~63 ( 63 / / ) 3. : 18 9 4. : 18 9 5. 12-2 TECHNOLOGY CO.,LTD. 701Client

More information

<4D6963726F736F667420576F7264202D203135343030AB4FA5C0A448ADFBA4FEAFC5C0B3C0CBB8EAAEC6B2C4A447B3A1A5F73938303230362E646F63>

<4D6963726F736F667420576F7264202D203135343030AB4FA5C0A448ADFBA4FEAFC5C0B3C0CBB8EAAEC6B2C4A447B3A1A5F73938303230362E646F63> 保 母 人 員 單 一 級 技 術 士 技 能 檢 定 術 科 測 試 應 檢 參 考 資 料 試 題 編 號 :15400-960401~8 審 定 日 期 :96 年 11 月 30 日 修 訂 日 期 :97 年 1 月 31 日 98 年 0 月 06 日 保 母 人 員 單 一 級 技 術 士 技 能 檢 定 術 科 測 試 應 檢 參 考 資 料 第 二 部 份 壹 保 母 人 員 技

More information

标题

标题 广 西 壮 族 自 治 区 人 民 政 府 关 于 促 进 广 西 北 部 湾 经 济 区 开 放 开 发 的 若 干 政 策 规 定 总 则 第 一 条 为 深 入 贯 彻 落 实 党 中 央 国 务 院 关 于 加 快 广 西 北 部 湾 经 济 区 ( 以 下 简 称 经 济 区 ) 开 放 开 发 的 重 大 战 略 部 署, 按 照 把 经 济 区 建 设 成 为 带 动 西 部 大 开

More information

僑生(含港澳生)及外籍生參加全民健康保險實施要點

僑生(含港澳生)及外籍生參加全民健康保險實施要點 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 參 加 全 民 健 康 保 險 實 施 要 點 中 央 健 康 保 險 局 八 十 九 年 一 月 十 八 日 核 定 施 行 壹 承 保 一 投 保 資 格 : ( 一 ) 持 有 居 留 證 明 文 件 之 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 來 台 就 學 者, 自 居 留 滿 四 個 月 時 起, 即 應 依 法 強 制 參 加 全

More information

Chapter 3 Camera Raw Step negative clarity +25 ] P / Step 4 0 ( 下一頁 ) Camera Raw Chapter 3 089

Chapter 3 Camera Raw Step negative clarity +25 ] P / Step 4 0 ( 下一頁 ) Camera Raw Chapter 3 089 Photoshop CC Camera Raw Photoshop Camera Raw Step 1 3 1 2 3 SCOTT KELBY Step 2 B Camera Raw 088 Chapter 3 Camera Raw Chapter 3 Camera Raw Step 3-4 -100 negative clarity +25 ] P / -75-50 Step 4 0 ( 下一頁

More information

iziggi

iziggi iziggi 1 1 iziggi-hd 2 iziggi-hd 4 IPEVO iziggi-hd 6 iziggi-hd 8 iziggi-hd 9 Whiteboard App USB 10 iziggi-hd 11 iziggi-hd Live View WiFi 11 12 LED 12 iziggi-hd 13 Apple TV AirPlay 13 14 15 iziggi-hd *

More information

Microsoft PowerPoint - C_Structure.ppt

Microsoft PowerPoint - C_Structure.ppt 結構與其他資料型態 Janet Huang 5-1 結構的宣告 struct 結構名稱 struct 結構名稱變數 1, 變數 2,, 變數 m; struct 結構名稱 變數 1, 變數 2,, 變數 m; student; student; 5-2 1 結構變數初值的設定 struct 結構名稱 struct 結構名稱變數 = 初值 1, 初值 2,, 初值 n student="janet","1350901",100,95

More information

投影片 1

投影片 1 資料庫管理程式 ( 補充教材 -Part2) 使用 ADO.NET 連結資料庫 ( 自行撰寫程式碼 以實現新增 刪除 修改等功能 ) Private Sub InsertButton_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles InsertButton.Click ' 宣告相關的 Connection

More information

重 要 声 明 长 城 证 券 股 份 有 限 公 司 编 制 本 报 告 的 内 容 及 信 息 来 源 于 陕 西 东 岭 工 贸 集 团 股 份 有 限 公 司 提 供 的 证 明 文 件 以 及 第 三 方 中 介 机 构 出 具 的 专 业 意 见 长 城 证 券 对 报 告 中 所 包

重 要 声 明 长 城 证 券 股 份 有 限 公 司 编 制 本 报 告 的 内 容 及 信 息 来 源 于 陕 西 东 岭 工 贸 集 团 股 份 有 限 公 司 提 供 的 证 明 文 件 以 及 第 三 方 中 介 机 构 出 具 的 专 业 意 见 长 城 证 券 对 报 告 中 所 包 2015 年 陕 西 东 岭 工 贸 集 团 股 份 有 限 公 司 ( 宝 鸡 市 马 营 路 东 段 ) 公 司 债 券 受 托 管 理 事 务 报 告 (2015 年 度 ) 债 券 代 理 人 长 城 证 券 股 份 有 限 公 司 ( 深 圳 市 福 田 区 深 南 大 道 6008 号 特 区 报 业 大 厦 16-17 层 ) 2016 年 4 月 12 日 1 重 要 声 明 长 城

More information

Application description

Application description PROFINET SIMATIC PCS 7 SIMATIC PCS 7 2013 10 Answers for industry. 2013 Produkthaftungsgesetz wesentliche Vertragspflichten ID 50203404 http://support.automation.siemens.com/ww/view/en/50203404 http://support.automation.siemens.com/ww/view/en/72887082

More information

AutoCAD 用戶如何使用 ArchiCAD

AutoCAD 用戶如何使用 ArchiCAD AutoCAD 用戶如何使用 ArchiCAD AutoCAD用戶如何使用ArchiCAD ( 中文版 ) 由 Scott MacKenzie, Simon Gilbert, Geoffrey Moore Langdon, David Byrnes, Ralph Grabowski 編寫 龍庭資訊有限公司 1/73 - 2. 3. 4. -

More information

第一次段考 二年級社會領域試題 郭玉華 (A)(B) (C)(D)

第一次段考   二年級社會領域試題 郭玉華   (A)(B) (C)(D) 五 福 二 社 p1 高 雄 市 立 五 福 國 民 中 學 97 學 年 度 第 1 學 期 第 1 次 段 考 二 年 級 社 會 學 習 領 域 試 題 卷 代 號 :30 答 案 卡 塗 寫 注 意 事 項 1. 答 案 卡 劃 記 時, 必 須 用 黑 色 2B 鉛 筆 塗 黑 塗 滿, 但 不 可 超 出 圈 外 2. 年 班 級 座 號 科 目 請 劃 記 正 確 若 劃 記 錯 誤,

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

(E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (B) (C) (D) (E) (A) (B) (C) (D). ( ) ( ) ( ) ( ) ( ) ( ) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (

(E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (B) (C) (D) (E) (A) (B) (C) (D). ( ) ( ) ( ) ( ) ( ) ( ) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). ( . (A) (B) (C) (D) (E). ( ) ( ) ( ) ( ) ( ) ( ) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). 1950 (A) (B) (C) (D) (E). 60 70 (A) (B) (C) (D) (E). ( ) ( ) ( ) ( ) (

More information

母親節-「寶貝進廚房,孝心早餐輕鬆做」

母親節-「寶貝進廚房,孝心早餐輕鬆做」 101 年 母 親 節 - 寶 貝 進 廚 房, 孝 心 早 餐 輕 鬆 做 比 賽 就 讀 學 校 : 復 興 國 小 五 年 11 班 姓 名 : 葉 玟 廷 聯 絡 人 : 葉 佳 聖 林 苑 暉 作 品 名 稱 :Tiffany 幸 福 早 點 來 四 ~ 六 年 級 食 譜 設 計 比 賽 第 1 名 寫 出 感 謝 媽 媽 的 幾 句 話 :( 約 50 字 ) 謝 謝 媽 媽 每 天

More information

<4D F736F F D20B8C9A552B8EAAEC62D54544CB0F2A5BBB968>

<4D F736F F D20B8C9A552B8EAAEC62D54544CB0F2A5BBB968> 關於布林代數與邏輯閘 補充資料 : 關於布林代數與邏輯閘 數字系統 : 十進位 (Decimal) 二進位 (Binary) 八進位 (Octal) 十六進位 (Hexadecimal) 十進位 (Decimal) 二進位 (Binary) 二進位轉換成十進位的方法 0 0 0 0 1 1 0 1 10 1 0 1 + 0 1 0 3 11 1 + 1 1 0 4 100 1 + 0 + 0 1 0

More information

Microsoft Word - ACL chapter02-5ed.docx

Microsoft Word - ACL chapter02-5ed.docx 第 2 章神奇的質數 2.1.1 什麼是質數 1 1 1 打下好基礎 - 程式設計必修的數學思維與邏輯訓練 1 1 0 10 2 3 5 7 4 6 8 9 10 4 10000 1229 1000 168 2 3 5 7 11 13 17 19 23 29 31 37 41 43 47 53 59 61 67 71 73 79 83 89 97 101 103 107 109 113 127 131

More information

Microsoft PowerPoint - chapter02_講義

Microsoft PowerPoint - chapter02_講義 chapter 布林代數 布林代數 2- 緒論 2-2 布林代數的基本定義與公設 2-3 布林代數之定理 2-4 布林代數之運算 2-5 全及項與全或項 2-6 積項之和與和項之積 2-7 標準型與模範型 2-8 真值表 2-9 邏輯閘 2- 布林代數之執行 2- 通用閘 2- 緒論 布林代數和一般代數最大的不同是布林代數不論輸入是變數或常數, 都只可能有兩種值, 即 與 而已 與 並不是真正的數目,

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

灾害逃生必读

灾害逃生必读 目 录 地 震 地 震 的 预 防 4 如 何 逃 生 4 防 止 次 生 灾 害 5 逃 生 不 忘 灭 火 5 地 震 火 灾 的 预 防 5 地 震 逃 难 法 6 地 震 避 险 自 救 常 识 7 地 震 时 的 自 救 四 大 常 识 7 在 地 震 时 的 应 急 防 震 工 作 室 内 应 急 防 震 行 动 7 火 灾 高 楼 火 灾 9 地 铁 火 灾 9 宾 馆 饭 店 火 灾

More information

電子類專業科目 ( ㆓ ) ㈨㈩ 年度技術校院㆓年制統㆒入 測驗試題 准考證號碼 : ( 請考生 行填写 ) 專業科目 ( ㆓ ) 電子類 微積分 數位邏輯 ( 含實習 ) 微處理機 ( 含實習 ) 注意事項 1. 本試題共 50 題, 每題 2 分, 共 100 分 2. 本試題均為單㆒選擇題,

電子類專業科目 ( ㆓ ) ㈨㈩ 年度技術校院㆓年制統㆒入 測驗試題 准考證號碼 : ( 請考生 行填写 ) 專業科目 ( ㆓ ) 電子類 微積分 數位邏輯 ( 含實習 ) 微處理機 ( 含實習 ) 注意事項 1. 本試題共 50 題, 每題 2 分, 共 100 分 2. 本試題均為單㆒選擇題, ㈨㈩ 年度技術校院㆓年制統㆒入 測驗試題 准考證號碼 : ( 請考生 行填写 ) 專業科目 ( ㆓ ) 電子類 微積分 數位邏輯 ( 含實習 ) 微處理機 ( 含實習 ) 注意事項. 本試題共 50 題, 每題 分, 共 00 分. 本試題均為單㆒選擇題, 每題都 (A) (C) (D) ㆕個選項, 請選出㆒個最適當的答案, 然後在答案卡 同㆒題號相對位置的方格範圍內, 用 B 鉛筆全部塗黑, 答對者得題分,

More information

(Microsoft Word - \265\332\276\305\306\332)

(Microsoft Word - \265\332\276\305\306\332) 考 研 数 学 三 必 知 的 题 型 们 经 常 听 前 讲 要 书 能 死 书, 仅 可 以 用 到 文 类 的 学 科, 对 于 数 学 的 复 是 如 那 对 于 考 研 复 的 学, 如 何 做 到 数 学 的 书 死 书 呢 华 既 然 是 以 考 试 向, 那 在 复 时 就 要 先 确 考 试 的 常 题 型 以 及 对 的 考 察 点 以 数 例 硕 士 研 生 入 学 考 试 数

More information

X6-04_How_do_I_write_a_com_port_communicate_program_of_XPAC_tc

X6-04_How_do_I_write_a_com_port_communicate_program_of_XPAC_tc Author WeiKai Version 1.0.0 Date 2013/4/14 Page 1/11 我如何在 XPAC 上建立一個 COM port 通訊程式 Applies to: Platform OS version XPAC utility version XPAC series All versions (WinCE6) All versions XPAC SDK 提供 XPAC 結合

More information

親愛的,我們結婚吧!

親愛的,我們結婚吧! 3/22 愛 情 的 法 律 學 分 交 大 通 識 課 程 親 愛 的, 我 們 結 婚 吧! 授 課 教 師 : 林 志 潔 博 士 投 影 片 製 作 : 劉 庭 妤 邱 于 柔 學 習 目 標 身 分 關 係 與 親 屬 的 類 型 血 親 與 姻 親 親 系 與 親 等 婚 姻 與 家 庭 結 婚 的 要 件 : 形 式 要 件 與 實 質 要 件 婚 姻 的 普 通 效 力 婚 姻 的

More information

Microsoft Word - Delta Controller ASCII_RTU_TC

Microsoft Word - Delta Controller ASCII_RTU_TC Delta Controller ASCII/RTU ( 適用台達變頻器 伺服驅動器 PLC 溫度控制器 ) 人機預設值通訊速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站號 :1 控制區 / 狀態區 :None/None 控制器接線的說明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列適用 )

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

Excel VBA Excel Visual Basic for Application

Excel VBA  Excel Visual Basic for Application Excel VBA Jun5,00 Sub 分頁 () Dim i As Integer Dim Cname As String Dim Code As Variant Set score=thisworkbook.sheets("sheet") Code=Array(" 專北一 "," 專北二 "," 專北三 "," 專桃園 "," 專桃竹 "," 專中苗 ", " 專台中 "," 專台南 ","

More information

untitled

untitled 2016 148 1 8 7 08:00 16:00 http://zj.sceea.cn www.sceea.cn APP 1 2 2 6 6 2016 2016 8 6 3 2016 2016 2016 0366 1 03 1 0391 2 54 ( ) 2 1256 7 02 1 03 1 07 2 18 2 21 1 1314 1 36 1 14000 / 20 1316 7 00 1 09

More information

竞赛报名与报名审核

竞赛报名与报名审核 2014 年 全 国 职 业 院 校 技 能 大 赛 高 职 组 广 东 省 选 拔 赛 工 程 造 价 基 本 技 能 赛 项 竞 赛 指 南 主 办 : 广 东 省 教 育 厅 承 办 : 广 州 城 建 职 业 学 院 协 办 : 广 联 达 软 件 股 份 有 限 公 司 目 录 一. 竞 赛 的 几 个 重 要 时 间...1 二. 竞 赛 时 间 地 点 及 费 用...1 ( 一 )

More information

东坑报414期.FIT)

东坑报414期.FIT) 叶 东 坑 曳 报 社 出 版 2011 年 05 月 19 日 逢 周 一 尧 四 出 版 本 期 8 版 总 414 期 投 稿 邮 箱 :dkbaoshe@dg.gov.cn 报 料 热 线 :83381509 5 月 18 日 下 午, 埔 田 片 整 治 环 境 卫 生 工 作 座 谈 会 在 我 镇 召 开, 副 市 长 梁 国 英 认 为 东 坑 环 境 卫 生 整 治 工 作 经 验

More information

SVS26CS Sensors Module User Guide Rev. 1.0 Aug Copyright 2018 Sivann Inc., All Rights Reserved.

SVS26CS Sensors Module User Guide Rev. 1.0 Aug Copyright 2018 Sivann Inc., All Rights Reserved. User Guide Rev. 1.0 Aug. 2018 Copyright 目錄 一 介紹... 2 1.1 相關開發文件... 2 1.2 硬體規格... 3 二 硬體配置... 5 三 接腳圖... 7 四 接腳描述... 8 五 版本歷史... 10 Rev. 1.0 1 / 10 一 介紹 Sivann 為一通用型的感測器應用模組, 其包含了 6 種常用的感測器與 2 種控制器 感測器包含溫濕度

More information

*33*!!! "!! #$! %#! "& "! #! %! # ( ) * # +, # -, # +., $ /# ( ) 0 $ +# ( ) 0 $.# ( ) 0 $ # $! % "" " % 1 % & ( * ) * % " " %.! % 2!!"+# ( "&! " ( "#

*33*!!! !! #$! %#! & ! #! %! # ( ) * # +, # -, # +., $ /# ( ) 0 $ +# ( ) 0 $.# ( ) 0 $ # $! %   % 1 % & ( * ) * %   %.! % 2!!+# ( &!  ( # 588!"! #$$%& &&#! ()! *(+ "! *(, "! (-.! *(/ "! (.! ().! (01! /0! *(. # 2(.! *2. $ *20 3 $! *( % ) % *+ " % * 4 5 6 % - % 0. % 7. *33*!!! "!! #$! %#! "& "! #! %! # ( ) * # +, # -, # +., $ /# ( ) 0 $ +#

More information

(A)3 4 (B)5 6 (C)7 9 (D)10 2 (E) (A) (B) (C) (D) (E) ( ) ( ) ( ) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (

(A)3 4 (B)5 6 (C)7 9 (D)10 2 (E) (A) (B) (C) (D) (E) ( ) ( ) ( ) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) ( . (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E).. (E) (A) (B) (C) (D). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (C) (D) (E) (A) (B) (C) (D) (E)

More information

Microsoft PowerPoint - 3C¬ì§Þ»P¥Í¬¡-chapter2

Microsoft PowerPoint - 3C¬ì§Þ»P¥Í¬¡-chapter2 Chapter II 軟硬之間 電腦的程式與硬體 1 資料的二進位表示法 資訊在電腦外部及內部表示法上的不同 2 資料的二進位表示法 二進位與十進位轉換表 3 資料的二進位表示法 1035 0000010000001011-1035 直接符號 1000010000001011 1 s 補數 1111101111110100 2 s 補數 1111101111110101 負整數表示法 4 資料的二進位表示法

More information

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆 Autodesk Product Design Suite Standard 20122 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆的產品設計計 Autodesk Product Design Suite Standard 版本中中包括以下軟體體產品

More information

Microsoft Word _醫療用恆溫保溫袋.doc

Microsoft Word _醫療用恆溫保溫袋.doc 以加 / 減法器實現之 2 的補數乘法器 Implementation of a 2 s Complement Multiplier Using Adding/subtracting Circuits 王晟瑋 (Cheng-Wei Wang) 國立虎尾科技大學光電與材料科技研究所 milk_tea_boy@hotmail.com 劉偉行 *(WeihSing Liu) 國立虎尾科技大學電子工程系 whliu@sunws.nfu.edu.tw

More information

但 洋 糖 最 终 乘 船 溯 江 而 上, 再 加 上 民 国 初 年 至 抗 战 前 夕 二 十 余 年 间, 四 川 接 连 不 断 遭 受 水 灾 旱 灾 地 震, 平 均 每 月 爆 发 两 次 军 阀 混 战, 乡 村 遭 受 极 大 破 坏,( 赵 泉 民,2007) 农 村 经 济

但 洋 糖 最 终 乘 船 溯 江 而 上, 再 加 上 民 国 初 年 至 抗 战 前 夕 二 十 余 年 间, 四 川 接 连 不 断 遭 受 水 灾 旱 灾 地 震, 平 均 每 月 爆 发 两 次 军 阀 混 战, 乡 村 遭 受 极 大 破 坏,( 赵 泉 民,2007) 农 村 经 济 原 载 黄 宗 智 主 编 : 中 国 乡 村 研 究 ( 第 八 辑 ), 福 州 : 福 建 教 育 出 版 社 2010 年 4 月 第 一 版, 第 196-241 页 北 京 联 合 大 学 李 安 平 抗 战 时 期 四 川 内 江 农 贷 个 案 研 究 摘 要 : 抗 日 战 争 时 期 四 川 内 江 蔗 农 在 承 受 高 利 贷 盘 剥 的 严 酷 境 遇 中, 利 用 中 国

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

36 2013 6 29 2012 10 24 2012 12 1 93 1998 10 25 94 95 2001 12 12 96 2007 4 15 97 (1) 200.0 50.0 100.0 500.0 98 49% 5% 20% 25% 25% 25% 25% H 598,100,000 H 59,810,000 657,910,000 H 25.0% 10 2010 9 19 5%

More information

4

4 練習 9A ( 9. 特殊角的三角比 T ( 在本練習中, 不得使用計算機 如有需要, 答案以根式或分數表示. 試完成下表 三角比 θ 0 4 60 sin θ cos θ tan θ 求下列各數式的值 (. cos 60. sin 4 4. tan 4. cos0 4 tan 0 7. sin 4 cos 4 8. cos 60 tan 4 9. tan 60sin 0 0. sin 60 cos

More information

Microsoft PowerPoint - chap12.ppt

Microsoft PowerPoint - chap12.ppt 步並列資料轉移 CLK 位址與命令 資料 匯流排週期 (a) 單一時脈週期 匯流排週期 CLK 位址與命令 資料 匯流排週期 匯流排週期 (b) 多時脈週期 林銘波編著 --- 全華科技圖書公司 2. 閃脈控制方式 --- 來源裝置啟動 來源裝置 閃脈控制線 標的裝置 (a) 方塊圖 成立 閃脈控制線 (b) 時序圖 標的裝置將資料閘入資料暫存器中 林銘波編著 --- 全華科技圖書公司 2.2 閃脈控制方式

More information

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在 國 二 國 文 範 圍 :B3: 第 二 課 美 猴 王 一 國 字 及 注 音 1. 拱 ㄈㄨˊ 無 違 : 2. 拍 手 稱 ㄧㄤˊ : 3. 詼 ㄒㄧㄝˊ 風 趣 : 4. ㄔㄢˊ 鬥 : 5. 搔 癢 : 6. ㄓㄤ 頭 鼠 目 : 7. 玩 ㄕㄨㄚˇ : 8. 石 竅 : 9. 採 花 ㄇㄧˋ 果 : 10. 長 途 ㄅㄚˊ 涉 : 11. 喜 不 自 勝 : 12. 進 ㄓㄨˋ 水 簾

More information

中華民國 第51屆中小學科學展覽會

中華民國 第51屆中小學科學展覽會 中 華 民 國 第 51 屆 中 小 學 科 學 展 覽 會 作 品 說 明 書 國 小 組 物 理 科 第 三 名 080115 問 水 哪 得 高 如 許? 為 有 熱 源 伴 水 來 學 校 名 稱 : 桃 園 縣 龍 潭 鄉 三 坑 國 民 小 學 作 者 : 指 導 老 師 : 小 六 陳 嬿 云 黃 啟 晉 小 六 張 婉 怡 關 鍵 詞 : 熱 脹 冷 縮 模 擬 燃 燒 影 響 力

More information

篇名:

篇名: 投稿類別 : 工程技術類 篇名 : 兩位數字 學號顯示器之設計與研析 作者 : 陳冠良 國立楊梅高中 高三資訊科甲班 葉日勝 國立楊梅高中 高三資訊科甲班 羅玉芬 國立楊梅高中 高三資訊科甲班 指導老師 : 簡樹桐老師 壹 前言 一 研究動機與目的 高二的課程有 數位邏輯 這門課, 課程中學習到有關於布林代數的計算 化簡, 及某些 IC 可以實現布林代數的結果, 不但可以把原本複雜的組合邏輯, 簡化成較簡單的電路

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

1... . 48 30 14 1000c.c 7.5 60 5 (7.5 ) (22 15 6 ). () 90 11 ~91 3 --- 1 2 3 4 () 91 4 ~91 5 --- 1 1 60 5 2 1 3 18 11 350ml ( ) 2 1 350ml 2 2 1-a 91 4 ~91 5 3 1-b 91 4 ~91 5 4 1-c 91 4 ~91 5 5 1 -- ab

More information

新时期共青团工作实务全书(三十九)

新时期共青团工作实务全书(三十九) ........................... I II....................... 1990... .................. 1991......... III ,, 3 3 6 30 1990 19 19 ,, 30%, 1986 12 19 1989 12 25 1990 11 10 1989 10 30 1990 830 19 40

More information

Microsoft Word - 1000813宜蘭2日_藥師公會_[1].doc

Microsoft Word - 1000813宜蘭2日_藥師公會_[1].doc 社 團 法 人 嘉 義 市 藥 師 公 會 綠 色 宜 蘭 之 旅 集 合 時 間 & 地 點 : 100 年 8 月 13 日 ( 星 期 六 ) 上 午 07:00 嘉 義 市 立 體 育 場 隨 團 領 隊 :A 車 張 靜 宜 小 姐 0980-327897 B 車 雍 詔 年 先 生 0985-306553 C 車 盧 泓 宇 先 生 0921-015773 D 車 陳 佩 杏 小 姐 0937-647959

More information

Book1

Book1 經 辦 網 點 名 稱 網 點 位 址 第 一 支 行 營 業 室 廣 東 省 廣 州 市 越 秀 區 沿 江 中 路 193 號 第 二 支 行 營 業 室 廣 東 省 廣 州 市 沿 江 西 路 145 號 吉 祥 支 行 廣 東 省 廣 州 市 東 風 中 路 313 號 荔 灣 支 行 營 業 室 廣 東 省 廣 州 市 荔 灣 區 南 岸 路 63 號 三 樓 北 京 路 支 行 營 業

More information

这些是关于健康、保健的知识,是我搜集的一些资料或是在保健讲座,健康课时做的笔记

这些是关于健康、保健的知识,是我搜集的一些资料或是在保健讲座,健康课时做的笔记 这 些 是 关 于 健 康 保 健 的 知 识, 是 我 搜 集 的 一 些 资 料 或 是 在 保 健 讲 座, 健 康 课 时 做 的 笔 记 做 这 一 切, 开 始 时 我 的 初 衷 是 为 了 我 爱 的 人 们 和 我 自 己 但 当 我 把 它 完 成 时, 我 内 心 极 其 希 望 这 一 切 可 以 传 播 出 去 一 方 面, 我 觉 得 这 些 知 识 可 以 帮 助 人

More information

zt

zt ! " " " " " " " " " " ! " %$# "& ()*) +! "! "!"!"!!#" "#"!!"#$" 1+,*!"%*!#!"! " " 16 7 "%*!"!! "#$%#& $#"! "()*" +)&), "+-".+)*" /01, ##"2)3*" 40,!%! "% " "0#3+ "0#3+)&1 "% ")5016")5016""+ $7 $ 14 "+ $$

More information

(1) 64 15 2062 50 8 818 60 41606 63 8305 53 3 11201 38 10 216C 2012815 2012815 2012815 2012815 2012815 201464 200211 20128 20128 20128 20128 20146 4 2

(1) 64 15 2062 50 8 818 60 41606 63 8305 53 3 11201 38 10 216C 2012815 2012815 2012815 2012815 2012815 201464 200211 20128 20128 20128 20128 20146 4 2 (1) 51 41 49 6 6 7 161 4 27 338 2012815 2012815 2012815 200712 20093 20086 211 (1) 64 15 2062 50 8 818 60 41606 63 8305 53 3 11201 38 10 216C 2012815 2012815 2012815 2012815 2012815 201464 200211 20128

More information

Microsoft PowerPoint - 職涯探索-1021016

Microsoft PowerPoint - 職涯探索-1021016 主 講 人 : 國 立 霧 峰 農 工 註 冊 組 長 廖 光 照 2013/10/16 1 高 職 職 業 類 科 畢 業 後 規 劃 技 職 繁 星 計 畫 甄 選 ( 書 面 審 查 免 口 試 ) 職 校 學 生 畢 業 後 規 劃 升 四 技 二 專 升 大 學 其 他 就 業 四 技 二 專 技 優 入 學 ( 免 採 統 測 成 績 ) 四 技 二 專 甄 選 入 學 ( 三 校 三

More information

本章綱要 -1 節點電壓法 -2 迴路電流法 -3 重疊定理 - 戴維寧定理 -5 諾頓定理 -6 戴維寧與諾頓等效電路之轉換 -7 最大功率轉移定理 Chapter 直流網路分析 0626-0.indd 125 2009/11/10 下午 0:58:09

本章綱要 -1 節點電壓法 -2 迴路電流法 -3 重疊定理 - 戴維寧定理 -5 諾頓定理 -6 戴維寧與諾頓等效電路之轉換 -7 最大功率轉移定理 Chapter 直流網路分析 0626-0.indd 125 2009/11/10 下午 0:58:09 ELECTRICITY ELECTRICITY BASIC BASIC 本章學習目標 1. 利用節點電壓法分析各支路的電流 2. 利用迴路電流法分析各迴路的電流 3. 瞭解重疊定理在多電源電路的應用. 利用戴維寧與諾頓定理化簡電路 5. 瞭解戴維寧與諾頓等效電路的轉換 6. 學習負載如何在電路中獲得最大的功率轉移 0626-0.indd 12 2009/11/10 下午 0:58:02 本章綱要 -1

More information

教 案 ( 首 页 ) 课 课 编 号 410105 结 构 力 学 总 计 :80 学 时 名 称 学 分 5 其 中 : 类 别 必 修 课 ( ) 选 修 课 ( ) 理 论 课 ( ) 实 验 课 ( 讲 课 :80 学 时 ) 实 验 : 学 时 任 课 教 师 曹 志 翔 职 称 副 教

教 案 ( 首 页 ) 课 课 编 号 410105 结 构 力 学 总 计 :80 学 时 名 称 学 分 5 其 中 : 类 别 必 修 课 ( ) 选 修 课 ( ) 理 论 课 ( ) 实 验 课 ( 讲 课 :80 学 时 ) 实 验 : 学 时 任 课 教 师 曹 志 翔 职 称 副 教 结 构 力 学 教 案 主 讲 教 师 : 曹 志 翔 2010 年 8 月 教 案 ( 首 页 ) 课 课 编 号 410105 结 构 力 学 总 计 :80 学 时 名 称 学 分 5 其 中 : 类 别 必 修 课 ( ) 选 修 课 ( ) 理 论 课 ( ) 实 验 课 ( 讲 课 :80 学 时 ) 实 验 : 学 时 任 课 教 师 曹 志 翔 职 称 副 教 授 对 象 专 业 班

More information

教材大綱 單元主題技能項目相關知識 一 邏輯實驗儀器的使用 ( 一 ) 電壓的供給調整 ( 二 ) 實驗儀器接線方法及測試 ( 三 ) 邏輯狀態的輸出測試 ( 四 ) 邏輯狀態的輸入調整 1. 了解實驗儀器的基本操作 2. 明白電路的連接方法 教學參考節數 6 備註 二 基本邏輯閘 ( 一 )NAN

教材大綱 單元主題技能項目相關知識 一 邏輯實驗儀器的使用 ( 一 ) 電壓的供給調整 ( 二 ) 實驗儀器接線方法及測試 ( 三 ) 邏輯狀態的輸出測試 ( 四 ) 邏輯狀態的輸入調整 1. 了解實驗儀器的基本操作 2. 明白電路的連接方法 教學參考節數 6 備註 二 基本邏輯閘 ( 一 )NAN 教材大綱 單元主題技能項目相關知識 一 邏輯實驗儀器的使用 ( 一 ) 電壓的供給調整 ( 二 ) 實驗儀器接線方法及測試 ( 三 ) 邏輯狀態的輸出測試 ( 四 ) 邏輯狀態的輸入調整 1. 了解實驗儀器的基本操作 2. 明白電路的連接方法 教學參考節數 6 備註 二 基本邏輯閘 ( 一 )NAND,NOR,NOT, 1. 各基本邏輯閘的 AND,OR, 及 EXOR 功能 閘功能實驗 2. 了解不同邏輯族

More information

* ) )4566 -!"#$$%"# * : ;* < => => 27;* ;* ;* )7:5)(54? *4566 7**4

* ) )4566 -!#$$%# * : ;* < => => 27;* ;* ;* )7:5)(54? *4566 7**4 - - 411421 & 5"6788 )8 #9)89,& 4 :;*9%768 - - - & #6788 67 "7,8)9, 8"6788 &!);!?#6788 67 #!@8)+88"6788 7,;),776),; 8"6788 & - - #6788 67 *+")9, G 67*+")9, G B)(+C7D & & E6)

More information

目錄 1. 摘要 簡介 全加器的研究與分析 全加器的結構 全加器的優缺點分析 新的兩位元加法器電路設計 偶位元全加器電路 奇位元全加器電路 模擬結果與比較

目錄 1. 摘要 簡介 全加器的研究與分析 全加器的結構 全加器的優缺點分析 新的兩位元加法器電路設計 偶位元全加器電路 奇位元全加器電路 模擬結果與比較 國立勤益科技大學 電子工程系 互補式進位加法器 電路設計 指導教授 : 董秋溝班級 : 四子四丙學號 :39613133 姓名 : 蔡立國 中華民國 100 年 01 月 17 日 目錄 1. 摘要...3 2. 簡介...3 3. 全加器的研究與分析...4 3.1 全加器的結構...4 3.2 全加器的優缺點分析...6 4. 新的兩位元加法器電路設計...11 4.1 偶位元全加器電路...11

More information

46 2011 11 467 數位遊戲式學習系統 7 2011 11 467 47 3 DBGameSys 48 2011 11 467 正規化資料模組 如何配置並儲存電子化資料 以 便減少資料被重覆儲存的程序 DBGameSys的主要功能模組包 學習者 審核評分模組 含 正規化資料模組 審核評分 模組 高分列表模組3大區塊 系統資料庫 在正規化資料模組的執行 高分列表模組 過程中 先要求學習者瀏覽遊戲

More information

附件1-1

附件1-1 學 校 名 稱 : 臺 中 市 南 屯 區 黎 明 國 民 小 學 方 案 名 稱 : 學 習 的 源 頭 活 水 ~ 圖 書 教 師 計 畫 壹 前 言 ~ 黎 明 教 閱 讀 半 畝 方 塘 一 鑑 開 天 光 雲 影 共 徘 徊 問 渠 哪 得 清 如 許 為 有 源 頭 活 水 來 宋 朝 朱 熹 < 觀 書 有 感 > 本 校 自 99 學 年 度 起 連 續 申 請 教 育 部 試 辦

More information

23 北 京 宋 家 庄 店 丰 台 区 宋 庄 路 71 号 S03 底 商 87626446 24 北 京 枣 园 路 店 25 北 京 外 经 贸 店 26 北 京 四 季 青 店 27 北 京 劲 松 大 厦 店 28 北 京 团 结 湖 店 29 北 京 牡 丹 园 店 大 兴 区 枣 园

23 北 京 宋 家 庄 店 丰 台 区 宋 庄 路 71 号 S03 底 商 87626446 24 北 京 枣 园 路 店 25 北 京 外 经 贸 店 26 北 京 四 季 青 店 27 北 京 劲 松 大 厦 店 28 北 京 团 结 湖 店 29 北 京 牡 丹 园 店 大 兴 区 枣 园 序 号 城 市 门 店 名 称 ( 经 营 名 称 ) 1 鞍 山 家 乐 福 店 门 店 地 址 鞍 山 市 铁 东 区 胜 利 南 路 148 号 -S9-S10 世 纪 峰 景 联 系 电 话 ( 固 定 ) 0412-2928698 2 鞍 山 站 前 店 鞍 山 市 铁 东 区 站 前 二 道 街 85 号 0412-2249222 3 鞍 山 湖 南 店 鞍 山 市 铁 东 区 湖 南

More information

68003 (Project Unity TC)_.indb

68003 (Project Unity TC)_.indb 2014 8 31 2016 2 6 104 2015 4 24 105 2008 6 1 2014 7 29 2 5,000 1 106 5 2008 3 5% 5% 2012 10 11 5% 5% 20% 25% H 17.51% A 107 A0.07% A 0.06% A H 25% H 25% 30 10 2015 8 27 5% 108 5% 2008 12 1 5% 2012 9 23

More information

目 錄

目 錄 試 前 卷 言 第 一 二 題 寫 作 能 力 第 四 五 級 示 例 及 二 一 評 及 語 評 語 二 三 第 二 ( 題 因 版 權 問 題, 第 一 級 示 例 從 缺 ) 四 五 二 一 及 評 語 第 三 級 示 例 及 評 語 第 三 題 一 二 第 五 四 級 示 例 二 及 評 語 第 一 二 三 級 示 例 及 評 語 一 目 錄 1 2 7 13 18 23 28 33 38

More information

contents 1 4 Chapter 2 Chapter 5 Chapter 管理自動儲存的版本 以文件復原窗格復原檔案 自訂快速工具 自訂快速存取工具列 自訂功能區

contents 1 4 Chapter 2 Chapter 5 Chapter 管理自動儲存的版本 以文件復原窗格復原檔案 自訂快速工具 自訂快速存取工具列 自訂功能區 與 Windows 8 完美結合的 Word 2013 1-1 瀏覽 Word 2013 使用介面...1-2 1-2 操作環境選項與帳戶設定...1-14 contents 1 Chapter 1-3 不可不會的線上求助...1-20 1-4 下載與安裝 Office 2013 評估版...1-24 ( 參考範例光碟的 PDF) 文件內容的基本操作 2-1 文字內容的產生...2-2 2-1-1

More information

(Microsoft Word - wes _\246p\246\363\250\317\245\316watchdog\250\276\244\356\265{\246\241\267\355\276\367.doc)

(Microsoft Word - wes _\246p\246\363\250\317\245\316watchdog\250\276\244\356\265{\246\241\267\355\276\367.doc) 作者 Amber 版本 1.0.0 日期 2012/04/24 頁數 1/9 如何使用 watchdog 防止程式當機? 適用於 : 平台 作業系統版本 XPAC utility 版本 XP-8000 系列 WES2009 所有版本 XP-8000-Atom 系列 WES2009 所有版本 注意! 欲變更系統的任何設定之前, 請先關閉 EWF 的保護, 方能使變更值生效 ; 設定完成之後, 請再開啟

More information

1036 1101 1084 1045 1105 1094 20 1095 1132 1169 1134 1147 1154 1163 1165 220 4 10 1169 1169 1275 1287 1342 9 1425 1274 1358 1314 1320 1659 1622 1629 1633 1638 140 1644 1657 1659 24 1610 1663 14 1663 1596

More information

第三篇 第 10 章 - 管理者登入第 11 章 - 更改網路商店 Logo 第 12 章 - 系統設定第 13 章 - 商品上架第 14 章 - 付款方式設定第 15 章 - 出貨方式設定第 16 章 - 特價商品 oscommerce 103

第三篇 第 10 章 - 管理者登入第 11 章 - 更改網路商店 Logo 第 12 章 - 系統設定第 13 章 - 商品上架第 14 章 - 付款方式設定第 15 章 - 出貨方式設定第 16 章 - 特價商品 oscommerce 103 第三篇 第 10 章 - 管理者登入第 11 章 - 更改網路商店 Logo 第 12 章 - 系統設定第 13 章 - 商品上架第 14 章 - 付款方式設定第 15 章 - 出貨方式設定第 16 章 - 特價商品 103 電子商務網站經營與管理 10-11 - Logo 12-13 - 14-15 - 16 - 一 情境描述 - 店主的心聲 1. 2. / 104 3. 第三篇網路商店新店開幕

More information

证券代码(A股/H股):000063/ 证券简称:中兴通讯 公告编号:

证券代码(A股/H股):000063/ 证券简称:中兴通讯 公告编号: 2 21,310,164 21,779,131-2.15 10,156,038 10,125,095 0.31 10.58 10.55 0.28 10.57 10.55 0.19-2,271,243-2,336,083 2.78% 0.035 0.240-85.42% 0.33 2.45 2.12 0.35 2.43 2.08 3 2,089 2,292 7,425-457 -2,587 4.2 33,418

More information

主程式 : public class Main3Activity extends AppCompatActivity { ListView listview; // 先整理資料來源,listitem.xml 需要傳入三種資料 : 圖片 狗狗名字 狗狗生日 // 狗狗圖片 int[] pic =new

主程式 : public class Main3Activity extends AppCompatActivity { ListView listview; // 先整理資料來源,listitem.xml 需要傳入三種資料 : 圖片 狗狗名字 狗狗生日 // 狗狗圖片 int[] pic =new ListView 自訂排版 主程式 : public class Main3Activity extends AppCompatActivity { ListView listview; // 先整理資料來源,listitem.xml 需要傳入三種資料 : 圖片 狗狗名字 狗狗生日 // 狗狗圖片 int[] pic =new int[]{r.drawable.dog1, R.drawable.dog2,

More information