用户指南

Size: px
Start display at page:

Download "用户指南"

Transcription

1 3.1 本章引言 第三章时钟系统 (CS) 时钟系统 (Clock System) 模块支持低成本和低功耗 通过使用 4 个内部时钟信号, 用户可以在低功耗和性能之间做到最好的平衡 时钟模块可以配置成无需任何外部组件, 使用一个外部电阻器或完全使用 DCO 旁路模式 时钟模块有四个系统时钟信号可以使用 : 5 6 获取当前 SMCLK 的频率 ( 单位 Hz) uint32_t CS_getMCLK (void) 获取当前 MCLK 的频率 ( 单位 Hz) uint8_t CS_getFaultFlagStatus (uint8_t mask) 获取 DCO 故障 ( 或错误 ) 标志状态 CS API 函数分为三组 : 配置时钟模块的 侦测时钟速度的和 CS 错误标记处理的 CS 一般配置和初始化的函数有 : ACLK: 辅助时钟 当运行在 DCO 时,ACLK 是固定在 32kHz 如果设备是设置在 DCO CS_setupDCO() 旁路模式,ACLK 运行在旁路时钟频率的 1/512 MCLK: 主时钟 MCLK 可以被 1,2,4,8 或 16 分频 MCLK 通常被 CPU 和系统使用 CS_initClockSignal() 侦测时钟速度的函数有 : SMCLK: 子系统主时钟 SMCLK 可以被 1,2,4,8 或 16 分频 SMCLK 可以被各个外围 CS_getACLK() 模块通过软件选择使用 CS_getSMCLK() SD24CLK:SD24 时钟提供一个 1.024MHz 固定频率的时钟给 Sigma-Delta ADC(SD24) 该时钟只为 SD24 的请求所使用 如果 SD24 功能必须在 DCO 旁路模式下工作, 那么外部时钟频率必须是 Mhz 这个驱动程序包含在 cs.c 文件里,cs.h 头文件包含该应用程序使用的 API 定义 3.2 函数总览 宏 #define CS_DCO_FREQ CS_getMCLK() CS 错误标志处理的函数有 : CS_getFaultFlagStatus() 函数 CS_getMCLK,CS_getSMCLK,CS_getACLK 只在使用内部或外部电阻或者 MHz 的旁路时钟频率下使用 DCO 才是精确有效的 详细描述 uint32_t CS_getACLK(void) 获取当前 ACLK 频率 ( 单位 Hz) 当设备安装在 DCO 旁路模式下, 它不能正常工作 此外, void GS_setupDCO ( uint8_t mode ) 使用选中的模式配置 DCO void CS_initClockSignal (uint8_t clocksource, uint8_t clocksourcedivider) 使用分频器初始化时钟信号 uint32_t CS_getACLK (void) 获取当前 ACLK 的频率 ( 单位 Hz) 使用这个 API 前应该调用 CS_setupDCO(), 以便 DCO 被校准, 这样计算才是精确的 返回值 : 当前 ACLK 频率, 单位 Hz, 当旁路模式下返回 0 uin8_t CS_getFaultFlagStatus(uint8_t mask) 获取 DCO 错误标志状态 当 DCO 在外部电阻模式和 DCO 检测到异常时候,DCO 故障标志将被置位 ( 写 1) 异常可能是,ROSC 端子保持开路或者短接到地, 或者连接在 ROSC 端子的电阻远离推荐的值 如果故障仍然存在,DCO 就会自动切换到内部电阻模式作为一种处理故障的安全机制 4 uint32_t CS_getSMCLK (void) mask:mask 有 CS_DCO_FAULT_FLAG. bbs.21ic.com 1

2 返回值 :CS_DCO_FAULT_FLAG 说明错误标志被置位 以通过一个时钟输入管脚将外部时钟信号灌入系统 uint32_t CS_getMCLK(void) 获取当前 MCLK 频率 ( 单位 Hz) 当设备安装在 DCO 旁路模式下, 它不能正常工作 此外, 使用这个 API 前应该调用 CS_setupDCO(), 以便 DCO 被校准, 这样计算才是精确的 返回值 : 当前 MCLK 频率, 单位 Hz, 当旁路模式下返回 0 uint32_t CS_getSMCLK(void) 获取当前 SMCLK 频率 ( 单位 Hz) 当设备安装在 DCO 旁路模式下, 它不能正常工作 此外, 使用这个 API 前应该调用 CS_setupDCO(), 以便 DCO 被校准, 这样计算才是精确的 3.3 例程 下面的例程演示如何配置 CS 模块, 设置为 SMCLK=DCO/2,MCLK=DCO/8 // 先配置 DCO 频率为 MHz. CS_setupDCO(CS_INTERNAL_RESISTOR); // 配置完 DCO 才可以配置 MCLK 和 SMCLK 返回值 : 当前 SMCLK 频率, 单位 Hz, 当旁路模式下返回 0 void CS_initClockSignal( uint8_t clocksource, uint8_t clocksourcedivider ) 使用分频器初始化一个时钟信号 如果 DCO 是在旁路模式下, 频率将是 CLKIN/ 分频器 如果 DCO 不在旁路模式下, 频率将是 MHz/ 分频器 该函数有两个 :clocksource 和 clocksourcedivider clocksource 时钟信号初始化值可以选的值有 :CS_MCLK,CS_SMCLK clocksourcedivider 分频器设置可选的值有 : CS_CLOCK_DIVIDER_1, CS_CLOCK_DIVIDER_2, CS_CLOCK_DIVIDER_4, CS_CLOCK_DIVIDER_8, CS_CLOCK_DIVIDER_16 返回值 : 无 void CS_setupDCO( uint8_t mode ) 使用所选模式配置 DCO 如果选择旁路模式, 则需要在 CLKIN 管脚接入外部数字时钟信号来作为所有的设备 (CPU 外设等) 的时钟信号 ACLK 频率是不可以被编程的, 且固定在旁路时钟频率除以 512 使用外部吊足模式, 需要在 ROSC 管脚连接一个 20KΩ 的电阻器 与使用内部电阻相比, 使用外部电阻模式, 在绝对误差和温度漂移上, 可以提供更高的时钟精度 请根据你所选的设备型号对应的数据手册的详细情况来选择不同的模式 该函数只有一个 :mode mode: 该可以选择的量有 CS_INTERNAL_RESISTOR,CS_EXTERNAL_RESISTOR, CS_BYPASS_MODE 返回值 : 空 CS_initClockSignal(CS_MCLK, CS_initClockSignal(CS_SMCLK, CS_CLKOCK_DIVIDER_8); CS_CLKOCK_DIVIDER_2); 注释 :DCO 的配置可以使用内部电阻和外部电阻, 还有一个就是旁路模式, 旁路模式就是可 bbs.21ic.com 2

3 第四章 EUSCI 通用异步接收器 / 发送器 (EUSCI_A_UART) 5 void EUSCI_A_UART_disableInterrupt (uint16_t,uint8_t mask) 关闭 UART( 独立的 ) 中断源 4.1 本章引言 MSP430i2xx 系列的 EUSC_A_UART 驱动库特性包括 : 奇偶校验或非奇偶校验 独立的发送和接收移位寄存器 分立的发送和接收缓冲寄存器 低位优先或高位优先数据发送和接收 内置空闲线和地址位通信协议的多处理器系统 具有从 LPMx 模式自动唤醒接收器启动的边缘检测 用于错误检测和抑制的状态标志 地址检测的状态标志 用于接收和发送独立的中断能力在 UART 模式中,eUSCI 在一定的位速率下, 异步与另外一个设备进行字符的发送和接收 每个字符的时间长度是基于所选择的 eusci 的波特率所固定的 因此, 发送和接收函数要使用相同的波特率进行通信 这个驱动程序包含在 eusci_a_uart.c 文件里,eusci_a_uart.h 头文件包含该应用程序使用的 API 定义 4.2 函数总览 bool EUSCI_A_UART_init ( uint16_t,eusci_a_uart_initparam *param ) 先进的 UART 模块初始化程序, 把初始化通过初始化函数写进时钟预分频器 void EUSCI_A_UART_transmitData (uint16_t, uint8_t transmitdata) 从 UART 模块发送出去一个字节 void EUSCI_A_UART_receiveData (uint16_t ) 接收一个字节数据 4 void EUSCI_A_UART_enableInterrupt (uint16_t,uint8_t mask) 使能 UART( 独立的 ) 中断源 void EUSCI_A_UART_getInterruptStatus (uint16_t,uint8_t mask) 获取当前 UART 中断状态 void EUSCI_A_UART_clearInterruptStatus (uint16_t,uint8_t mask) 清除 UART 中断源 ( 备注 : 清除中断状态, 重置中断状态标识 ) void EUSCI_A_UART_enable (uint16_t ) 启用 UART 模块 void EUSCI_A_UART_disable (uint16_t ) 关闭 UART 模块 uint8_t EUSCI_A_UART_queryStatusFlags (uint16_t, uint8_t mask) 获取当前 UART 状态标志.( 查询 UART 当前状态标识 ) void EUSCI_A_UART_setDormant(uint16_t ) 把 UART 模块设置在休眠模式 void EUSCI_A_UART_resetDormant(uint16_t ) 把 UART 模块从休眠模式唤醒 void EUSCI_A_UART_transmitAddress(uint16_t,uint8_t transmitaddress) 根据所选的多处理器模式, 传送下一个字节标记为地址 void EUSCI_A_UART_transmitBreak(uint16_t ) 发送终止 uint32_t EUSCI_A_UART_getReceiveBufferAddress(uint16_t ) 返回 RX 缓冲区的 UART 的 DMA 模块的地址 uint32_t EUSCI_A_UART_getTransmitBufferAddress(uint16_t ) 返回 TX 缓冲区的 UART 的 DMA 模块的地址 void EUSCI_A_UART_selectDeglitchTime(uint16_t,uint16_t deglitchtime) 设置抗尖峰脉冲时间 EUSI_A_UART_API 提供了一组函数, 用来实现一个中断驱动 EUSI_A_UART 的驱动程序 该 EUSI_A_UART 初始化的各种模式和功能是由 EUSCI_A_UART_init() 完成 这个函数初始化结束 bbs.21ic.com 3

4 时 EUSI_A_UART 保持禁用 ( 所有的相关配置工作完成后才会通过函数启动 UART) EUSCI_A_UART_enable() 使 EUSI_A_UART, 模块现在已经可以准备传输和接收了 该函数共两个 : 和 mask 建议通过 EUSCI_A_UART_init() 初始化 EUSI_A_UART, 使能所需的中断, 然后通 EUSCI_A_UART_enable() 启用 EUSI_A_UART EUSI_A_UART API 函数分为三组 : 那些处理 EUSI_A_UART 模块配置和控制的, 用于发送和接收数据的, 管理中断和状态的 配置和控制 EUSI_UART 的函数有 : mask 将被清除中断源的位掩码 掩码值可以是以下量的逻辑或 : EUSCI_A_UART_RECEIVE_INTERRUPT_FLAG EUSCI_A_UART_TRANSMIT_INTERRUPT_FLAG EUSCI_A_UART_STARTBIT_INTERRUPT_FLAG EUSCI_UART_init() EUSCI_UART_enable() EUSCI_UART_disable() EUSCI_UART_setDormant() EUSCI_UART_resetDormant() EUSCI_A_UART_TRANSMIT_COMPLETE_INTERRUPT_FLAG 该函数修改 UCAxIFG 寄存器 返回值 : 无 void EUSCI_A_UART_disable (uint16_t ) EUSCI_UART_selectDeglithTime() 通过 EUSI_UART 发送和接收数据的函数有 : 关闭 UART 模块 该函数只有 1 个 : EUSCI_UART_transmitData() EUSCI_UART_receiveData() EUSCI_UART_transmitAddress() 该函数修改 UCAxCTL1 寄存器的 UCSWRST 位 EUSCI_UART_transmitBreak() 管理 EUSI_UART 中断和状态的函数有 : 返回值 : 无 EUSCI_UART_enableInterrupt() EUSCI_UART_disableInterrupt() EUSCI_UART_geInterruptStatus() EUSCI_UART_clearInterrupt() EUSCI_UART_queryStatusFlags() void EUSCI_A_UART_disableInterrupt (uint16_t, uint8_t mask) 关闭独立的 UART 中断源 禁用表示的 UART 中断源 只有启用的源可以反映给处理器进行中断 禁用的源不会影响到处理器的工作 该函数共两个 : 和 mask void EUSCI_A_UART_clearInterruptStatus (uint16_t,uint8_t mask) 清除 UART 中断源 UART 中断源被清除, 所以它不再断言 ( 计算机专业术语, 大概意思就是 : 中断源被清除后, 相关的不再执行, 根据我们所接触过的类似的情况, 可以看出来, 清除中断标志后, 才可以重新接收新一次的中断信号, 本次中断信号已经完成, 不再有效 ) mask 掩码位对应的中断源将会被关闭 掩码值可以是以下量的逻辑或 : EUSCI_A_UART_RECEIVE_INTERRUPT 接收中断 bbs.21ic.com 4

5 EUSCI_A_UART_TRANSMIT_INTERRUPT 发送中断 EUSCI_A_UART_RECEIVE_INTERRUPT 接收中断 EUSCI_A_UART_RECEIVE_ERRONEOUSCHAR_INTERRUPT 收到错误的字符中断使能 EUSCI_A_UART_TRANSMIT_INTERRUPT 发送中断 EUSCI_A_UART_BREAKCHAR_INTERRUPT 接收间隔字符中断使能 EUSCI_A_UART_RECEIVE_ERRONEOUSCHAR_INTERRUPT 收到错误的字符中断使能 EUSCI_A_UART_STARTBIT_INTERRUPT 起始位接收中断启用 EUSCI_A_UART_BREAKCHAR_INTERRUPT 接收间隔字符中断使能 EUSCI_A_UART_TRANSMIT_COMPLETE_INTERRUPT 发送完成中断使 EUSCI_A_UART_STARTBIT_INTERRUPT 起始位接收中断启用 该函数修改 UCAxCTL1 寄存器的 UCAxIE 位 EUSCI_A_UART_TRANSMIT_COMPLETE_INTERRUPT 发送完成中断使 返回值 : 无 该函数修改 UCAxCTL1 寄存器的 UCAxIE 位 返回值 : 无 void EUSCI_A_UART_enable (uint16_t ) 启用 UART 模块, 这将能够操作 UART 模块 uint8_t EUSCI_A_UART_getInterruptStatus (uint16_t, uint8_t mask) 该函数只有 1 个 : 获取当前 UART 中断状态 这将为 UART 模块返回基于其传递的标志的中断状态 该函数共两个 : 和 mask 该函数修改 UCAxCTL1 寄存器的 UCSWRST 位 返回值 : 无 mask 返回掩码的中断标志位状态 掩码值可以是以下量的逻辑或 : void EUSCI_A_UART_enableInterrupt (uint16_t, uint8_t mask) 启用独立的 UART 中断源 只有这个源使能启用后才能够反映到处理器中断 ; 关闭源将不再影响到处理器 注释 : 通过该函数启动中断源, 才可以把中断事件产生的中标标志置位反映到处理器响应中断, 进行中断, 如果关闭了中断源, 系统将不会把中断标志置位的信号传递给处理器, 也不会响应中断 这就是为什么, 总是看到, 启动中断程序前都进行了中断标志位清零操作 EUSCI_A_UART_RECEIVE_INTERRUPT_FLAG EUSCI_A_UART_TRANSMIT_INTERRUPT_FLAG EUSCI_A_UART_STARTBIT_INTERRUPT_FLAG EUSCI_A_UART_TRANSMIT_COMPLETE_INTERRUPT_FLAG 该函数修改 UCAxIFG 寄存器 返回值 下面量的逻辑或 : 该函数共两个 : 和 mask EUSCI_A_UART_RECEIVE_INTERRUPT_FLAG EUSCI_A_UART_TRANSMIT_INTERRUPT_FLAG EUSCI_A_UART_STARTBIT_INTERRUPT_FLAG EUSCI_A_UART_TRANSMIT_COMPLETE_INTERRUPT_FLAG 指示掩码标志状态 mask 掩码位对应的中断源将会被关闭 掩码值可以是以下量的逻辑或 : bbs.21ic.com 5

6 uint32_t EUSCI_A_UART_getReceiveBufferAddress (uint16_t ) 为 DMA 模块返回 UART 的 RX 缓冲器地址 这可以结合使用 DMA 直接接收到的数据存储到内存 返回值 :RX 缓冲器地址 uint32_t EUSCI_A_UART_getTransmitBufferAddress (uint16_t ) 为 DMA 模块返回 UART 的 TX 缓冲器地址 这可以结合使用 DMA 直接接收到的数据存储到内存 返回值 :TX 缓冲器地址 mask param 是初始化结构体的指针 该函数修改寄存器 UCAxCTL0 的 UCPEN,UCPAR,UCMSB,UC7BIT,UCSPB,UCMODEx 和 UCSYNC 位 返回值 STATUS_SUCCESS 或 STATUS_FAIL 注释 : 如果初始化成功了, 返回 STATUS_SUCCESS; 如果初始化写入失败了, 返回 STATUS_FAIL bool EUSCI_A_UART_init ( uint16 t, EUSCI A UART initparam param ) uint8 t EUSCI_A_UART_queryStatusFlags ( uint16 t, uint8 t mask ) 先进的 UART 模块初始化程序 被写进 clockprescalar( 前置分频器 ),firstmodreg,secondmodrge 和 oversampling( 过采样 ) 的应该提前计算好再传递给初始化函数 注释 :oversampling 指的是在对模拟信号进行采样的时候, 采样频率比被采样信号的最大频率成分的两倍要高, 即满足奈 获取当前 UART 状态标志 该函数返回 UART 模块作为传送的标志位的状态 注释 : 多次提到传送标志位的概念, 意思就是, 该函数中作为传送过去的标志位对应的当前状态将会作为返回值返回 奎斯特采样定理 (fs>=fmax) 在成功初始化 UART 模块前, 这个函数将完成初始化该模块, 单 UART 模块仍然是关闭的, 必须使用函数 EUSCI_A_UART_enable() 使能启动 对于计算 clockprescalar,firstmodreg, secondmodreg 和 oversampling 请使用下面链接 : nverter/index.html 根据网页提供的工具可以方便的计算出初始化用的 如下图所示 mask 是将被返回的中断标志位状态掩码 EUSCI_A_UART_LISTEN_ENABLE EUSCI_A_UART_FRAMING_ERROR EUSCI_A_UART_OVERRUN_ERROR EUSCI_A_UART_BREAK_DETECT EUSCI_A_UART_ADDRESS_RECEIVED EUSCI_A_UART_IDLELINE EUSCI_A_UART_BUSY bbs.21ic.com 6

7 该函数修改寄存器 UCAxSTAT 的位 返回值 : 下面量的逻辑或 EUSCI_A_UART_LISTEN_ENABLE EUSCI_A_UART_FRAMING_ERROR EUSCI_A_UART_OVERRUN_ERROR EUSCI_A_UART_BREAK_DETECT EUSCI_A_UART_ADDRESS_RECEIVED EUSCI_A_UART_IDLELINE EUSCI_A_UART_BUSY deglitchtime 抗尖峰脉冲时间可选的值有 : EUSCI_A_UART_DEGLITCH_TIME_2ns EUSCI_A_UART_DEGLITCH_TIME_50ns EUSCI_A_UART_DEGLITCH_TIME_100ns EUSCI_A_UART_DEGLITCH_TIME_200ns 返回值 : 无 void EUSCI_A_UART_setDormant ( uint16_t ) uint8_t EUSCI_A_UART_receiveData ( uint16_t ) 接收已经发送到 UART 模块的一个字节 该函数从 UART 接收数据寄存器读取一个字节数据 该函数修改寄存器 UCAxRXBUF 返回值 : 返回从 UART 模块收到的字节, 强制转换为 uint8_t 设置 UART 在休眠模式 在空闲线 ( 串口线路闲置状态 ) 或 UCRXIFG 置位前 在 UART 自动波特率检测模式, 只有断点和同步字段组合才可置位 UCRXIFG( 在这种模式下, 触发中断的条件 ) EUSCI_A_UART 模块的基地址 该函数修改寄存器 UCAxCTL1 返回值 : 无 注释 : 函数的类型就是返回值的类型, 函数内部返回值必须以函数类型返回 void EUSCI_A_UART_transmitAddress ( uint16_t, uint8_t transmitaddress ) void EUSCI_A_UART_resetDormant ( uint16_t ) 从休眠模式重启 UART 模块 不休眠, 所有接收到字符就置位 UCRXIFG 根据选择的多处理器模式, 发送要被发送的标记为地址的下一个字节 EUSCI_A_UART 模块的基地址 该函数修改寄存器 UCAxCTL1 的 UCDORM 位 返回值 : 无 transmitaddress 被发送的下一个字节 该函数修改寄存器 UCAxTXBUF 和 UCAxCTL1 返回值 : 无 void EUSCI_A_UART_transmitBreak ( uint16_t ) void EUSCI_A_UART_selectDeglitchTime ( uint16 t, uint16 t deglitchtime ) 设置抗尖峰脉冲时间 传输中断 ( 停止, 暂停, 间断 ) bbs.21ic.com 7

8 传输中断 ( 停止 ) 作为下一个写入发送缓冲器 在 UART 的自动波特率检测模式下, EUSCI_A_UART_AUTOMATICBAUDRATE_SYNC(0x55) 必须被写进 UCAxTXBUF 来生成所需的中断 / 同步字段 否则, 默认同步 (0x00) 必须写入传输缓冲区 另外确保模块为发送下一个数据做好准备 EUSCI_A_UART_ NO PARITY, // No Parity EUSCI_A_UART_ MSB FIRST, // MSB First EUSCI_A_UART_ ONE STOP BIT, // One stop bit EUSCI_A_UART_ MODE, // UART mode EUSCI_A_UART_ OVERSAMPLING_BAUDRATE_GENERATION // Oversampling Baudrate }; WDT_hold(WDT_BASE); 该函数修改寄存器 UCAxTXBUF 和 UCAxcTL1 返回值 : 无 // 设置 DCO 使用内部电阻,DCO 将被配置在 MHz. CS_setupDCO(CS_INTERNAL RESISTOR); // SMCLK 设置与 DCO 相同的速度 SMCLK = MHz void EUSCI_A_UART_transmitData ( uint16_t, uint8_t transmitdata ) 从 UART 模块发送一个字节 该函数放置提供的数据在 UART 发送数据寄存器里, 并开始发送 CS_initClockSignal(CS_SMCLK, CS_CLOCK DIVIDER_1); // 设置 P1.2 和 P1.3 管脚作为 UART 管脚 P1.4 管脚作为 LED 输出 GPIO_setAsPeripheralModuleFunctionInputPin(GPIO_PORT_P1, GPIO_PIN2 GPIO_PIN3, GPIO_PRIMARY_MODULE_FUNCTION); GPIO_setAsOutputPin(GPIO_PORT_P1, GPIO_PIN4); transmitdata 从 UART 模块将要被发送出去的数据 GPIO_setOutputLowOnPin(GPIO_PORT_P1, GPIO_PIN4); // 配置和使能 UART 外设 该函数修改寄存器 UCAxTXBUF 返回 : 无 EUSCI_A_UART_ init(eusci_a0_base, &uartconfig); EUSCI_A_UART_ enable(eusci_a0_base); EUSCI_A_UART_ enableinterrupt(eusci_a0_base, EUSCI_A_UART_ RECEIVE_INTERRUPT); 4.3 例程 例程将展示怎样使用 EUSCI_A_UART API 来初始化 EUSCI_A_UART 并开始发送字符 // 使用 SMCLK 频率为 Hz 配置 UART 模块波特率为 // 可以在以下网址计算器计算出配置 : while(1) { EUSCI_A_UART_ transmitdata(eusci_a0_base, TXData); // 进入休眠并等待退出 LPM bis_sr_register(lpm0_bits GIE); } // public sw/mcu/msp430/msp430baudrateconverter/index.html EUSCI_A_UART_ initparam uartconfig = { EUSCI_A_UART_ CLOCKSOURCE SMCLK, // SMCLK Clock Source 8, // BRDIV = 详解 14, // UCxBRF = 14 34, // UCxBRS = 34 bbs.21ic.com 8

9 UART 主要是通过一个结构体来初始化的 从 eusci_a_uart.h 我们可以看到该结构体为 typedef struct EUSCI_A_UART_initParam { uint8_t selectclocksource; uint16_t clockprescalar; uint8_t firstmodreg; uint8_t secondmodreg; uint8_t parity; uint16_t msborlsbfirst; uint16_t numberofstopbits; uint16_t uartmode; uint8_t oversampling; } EUSCI_A_UART_initParam; 根据库函数头文件的介绍, 第一个时钟源选择变量, 一共有两个值可以选择 分别是使用 SMCLK 和 ACLK 作为 UART 时钟源 第二个, 第三个, 第四个, 我们可以不用管, 直接利用网页的工具进行计算, 如果想知道怎么手工计算, 请查看技术手册 第五个 parity 是奇偶校验, 一共三个选项, 无奇偶校验 奇校验和偶校验 默认情况是无奇偶校验 第六个是 msborlsbfirs, 高位优先或低位优先, 默认低位优先 第七个是 numberofstopbits, 停止位数量, 可以选择 1 个停止位或 2 个选择位 默认 1 个停止位 第八个是 uartmode, 共 4 个模式, 默认是 EUSCI_A_UART_MODE 模式, 还可以选择 EUSCI_A_UART_IDLE_LINE_MULTI_PROCESSOR_MODE ( 空闲线多处理器模式 ) EUSCI_A_UART_ADDRESS_BIT_MULTI_PROCESSOR_MODE( 地址位多处理器模式 ) 和 EUSCI_A_UART_AUTOMATIC_BAUDRATE_DETECTION_MODE( 自动波特率检测模式 ) 第九个是 oversampling, 有两个值可以选择, 分别用来指示使用过采样波特率发生器还是使用低频率波特率发生器 分别是 EUSCI_A_UART_OVERSAMPLING_BAUDRATE_GENERATION 和 EUSCI_A_UART_LOW_FREQUENCY_BAUDRATE_GENERATION 详情参见技术手册相关章节 并在本帖后回复讨论 关于本章节函数的变量的选择, 详情见 eusci_a_uart.h 本章节的作业 : 根据例程, 编写串口发送和接收程序, 发送 LED_ON 字符串点亮接收 MCU 的 LED, 发送 LED_OFF, 关闭接收 MCU 的 LED, 发送 LED_TEST 字符串, 返回当前的 LED 状 态 bbs.21ic.com 9

用户指南

用户指南 第五章 24-BitΣ-Δ 模数转换器 5.1 本章引言 SD24 模块由多达四个 ( 注释 : 根据封装不同最多 4 个, 也可以是 2 个或者 3 个 ) 独立的 Σ-Δ 模数 转换器构成 该转换器是基于二阶过采样 Σ-Δ 调制器和数字抽取滤波器 抽取滤波器是具有高达 256 可选择过采样率梳型滤波器 额外的滤波可以用软件来完成 一个 Σ-Δ 模数转换器基本上有两部分组成 : 模拟部分的调制器和数字部分的抽取滤波器

More information

第 16 章 SPI 串行同步通讯接口模式 这个 5 系列通用串行通信接口支持一个硬件模块下的多通道串行通信模式 本章节主要讨论同步外围接口的运行或称 SPI 模式的运行 16.1 USCI 的概述通用串行通信接口模块支持多道串行通信模式 不同的 USCI 支持不同的模式 每一个不同的 USCI 模

第 16 章 SPI 串行同步通讯接口模式 这个 5 系列通用串行通信接口支持一个硬件模块下的多通道串行通信模式 本章节主要讨论同步外围接口的运行或称 SPI 模式的运行 16.1 USCI 的概述通用串行通信接口模块支持多道串行通信模式 不同的 USCI 支持不同的模式 每一个不同的 USCI 模 MSP430F5 系列 16 位超低功耗单片机模块原理 第 16 章 SPI 串行同步通讯接口模式 版本 : 1.1 发布日期 : 2008.9. 最后更新日期 :2010.8. 原文 : TI slau208.pdf (5xxfamily User's Guide) 翻译 : 张桂兴西北工业大学 编辑 : DC 微控网总版主 注 : 以下文章是翻译 TI slau208.pdf 文件中的部分内容

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

W7500EVB 开发指南 ( 库函数版 ) 第五章串口试验 前面几章介绍了 W7500 的 IO 口操作 本章教大家如何使用 W7500 的串口来发送和接收数据 本章将实现如下功能 :W7500 通过串口和上位机的对话,W7500 在收到上位机发过来的字符串后, 原原本本的返回给上位机 本章分为如

W7500EVB 开发指南 ( 库函数版 ) 第五章串口试验 前面几章介绍了 W7500 的 IO 口操作 本章教大家如何使用 W7500 的串口来发送和接收数据 本章将实现如下功能 :W7500 通过串口和上位机的对话,W7500 在收到上位机发过来的字符串后, 原原本本的返回给上位机 本章分为如 第五章串口试验 前面几章介绍了 W7500 的 IO 口操作 本章教大家如何使用 W7500 的串口来发送和接收数据 本章将实现如下功能 :W7500 通过串口和上位机的对话,W7500 在收到上位机发过来的字符串后, 原原本本的返回给上位机 本章分为如下几个小节 : 5.1 W7500 串口简介 5.2 硬件设计 5.3 软件设计 5.4 下载验证 1 5.1 W7500 串口简介 串口作为 MCU

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

I MSP-430USCI 模块使用技巧及实例 ---IrDA ㈠ USCI 介绍 msp430f541x msp430f543x 多达 4 个通用串行通信接口 (USCI) 模块, 支持多种串行通信模式, 不同的 USCI 模块支持不同的模式 USCI_Ax 模块支持 : UART 模式 ; Ir

I MSP-430USCI 模块使用技巧及实例 ---IrDA ㈠ USCI 介绍 msp430f541x msp430f543x 多达 4 个通用串行通信接口 (USCI) 模块, 支持多种串行通信模式, 不同的 USCI 模块支持不同的模式 USCI_Ax 模块支持 : UART 模式 ; Ir 在 CCSv5.1 中利用 MSP430 的 代码示例开发 MSP430 制作小组成员 : 刘阳电信 0905 蔡世滨电信 0905 谢超凡电信 0905 I MSP-430USCI 模块使用技巧及实例 ---IrDA ㈠ USCI 介绍 msp430f541x msp430f543x 多达 4 个通用串行通信接口 (USCI) 模块, 支持多种串行通信模式, 不同的 USCI 模块支持不同的模式

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3, 但是就是没有用过 TI 的 430, 所以将 我学习 430 的过程写出来, 给像我一样之前没有 430 开发经验

第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3, 但是就是没有用过 TI 的 430, 所以将 我学习 430 的过程写出来, 给像我一样之前没有 430 开发经验 目录 第 1 章 MSP430 快速入门...1 1.1 准备工作... 1 1.2 MSP430 的时钟系统... 3 1.3 DCO 时钟校准...3 1.4 硬件最小系统...4 1.5 在 IAR 下新建工程...4 i/11 第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3,

More information

Microsoft Word - 10

Microsoft Word - 10 第十章通用非同步收發傳輸器 (UART) 10.1 結構介紹 10.2 內部暫存器 10.3 初始化流程 10.4 UART 傳輸實驗 10.4.1 實驗目的 10.4.2 實驗設備 10.4.3 實驗描述 10.4.4 接線步驟 10.4.5 程式流程圖 10.4.6 程式碼 10.4.7 實驗結果 10.1 結構介紹 圖 10.1 為通用串行通信接口 _AX(USCI_Ax) 設為 UART 模式時的區塊結構圖,

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ MSP430F11x 1.8-3.6V 1.6uA@4KHz 200uA@1MHz 2.2V 5 ( 0.8uA RAM 0.1uA) 6us 16 RISC 125nS 32KHz / 16 A/D MSP430F110 1KB+128B 128B RAM MSP430F112 4KB+256B 256B RAM 20 (SOWB) 20 (TSSOP) MSP430 16 RISC CPU 16

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

Guava学习之Resources

Guava学习之Resources Resources 提供提供操作 classpath 路径下所有资源的方法 除非另有说明, 否则类中所有方法的参数都不能为 null 虽然有些方法的参数是 URL 类型的, 但是这些方法实现通常不是以 HTTP 完成的 ; 同时这些资源也非 classpath 路径下的 下面两个函数都是根据资源的名称得到其绝对路径, 从函数里面可以看出,Resources 类中的 getresource 函数都是基于

More information

F²MC-8L/16LX/FR FAMILY

F²MC-8L/16LX/FR FAMILY 富士通半导体 ( 上海 ) 有限公司应用笔记 MCU-AN-500026-Z-10 F²MC-8FX 家族 8 位微型控制器 MB95200 系列 LIN/UART API 应用笔记 修改记录 修改记录 版本日期作者修改记录 1.0 2009-3-3 Jane, Li 初稿 本手册包含 29 页 1. 本文档记载的产品信息及规格如有变动, 恕不预先通知 如需最新产品信息和 / 或规格, 联系富士通销售代表或富士通授权经销商

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

Microsoft Word - SC16C550应用实例.doc

Microsoft Word - SC16C550应用实例.doc SCC0 应用实例. 概述 SCC0 是 PHILIPS 推出的一款高性能的 UART 芯片, 其具有 字节 FIFO 和 IrDA 编 / 解码模块 本文将结合电路和程序演示如何使用 SCC0 接收和回送 UART 数据 读者可以根据图 制作 SCC0 的 DEMO 版 ; 在 KEIL C 开发环境下, 可利用 PVRD 的 SOFTICE 功能, 方便调试 SCC0 的各种功能. 电路分析 图

More information

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A MSP430x15x, MSP430x16x MSP430x161x 1.8V 3.6V 1MHz 2.2V 280 A 1.6 A RAM 0.1 A 6 S 16 125 DMA 12 A/D 12 D/A / 16 A / 16 B USART1 UART SPI USART0 UART SPI I 2 C / Bootstrap Loader MSP430F155: 16KB+256B flash

More information

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony Ioncube Php Encoder 8 3 Crack 4 ->>->>->> DOWNLOAD 1 / 5 2 / 5 Press..the..General..Tools..category4Encrypt..and..protect..files..with..PHP..encoding,..encryption,..ob fuscation..and..licensing... 2016

More information

STM32 USART

STM32 USART 应用笔记 STM32 USART 自动波特率检测 前言 正确的 USART 通信要求发送和接收波特率的匹配度足够高, 否则可能发生通信错误 当在两个设备之间建立通信链路时, 自动波特率检测十分有用, 因为从设备能够检测到主控制器的波特率并进行相应的自我调整 这需要使用一种自动机制来确定波特率 某些 STM32 器件中内置的 USART 外设提供许多功能, 包括硬件自动波特率检测 本应用笔记旨在介绍

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

USR-TCP232-XX系列模块设置协议

USR-TCP232-XX系列模块设置协议 UART ETH E 系列模块设置协议 注意 : 通讯协议有两个版本, 针对 V4.2 固件版本之后的协议, 请看每段的标题 (E45 系列支持新版本的协议, 但只能在静态 IP 模式下 ) 新版本固件向前兼容, 如果不用到新功能 ( 独立 ID 和子网掩码 ), 依然可以用老协议进行操作 版本更新历史 V1: 版本建立 V3: 增加 USR-TCP-E,-400,-500 的串口设置命令 V4:

More information

《C语言程序设计》教材习题参考答案

《C语言程序设计》教材习题参考答案 教材名称 : C 语言程序设计 ( 第 1 版 ) 黄保和 江弋编著清华大学出版社 ISBN:978-7-302-13599-9, 红色封面 答案制作时间 :2011 年 2 月 -5 月 一 选择题 1. 设已定义 int a, * p, 下列赋值表达式中正确的是 :C)p=&a 2. 设已定义 int x,*p=&x;, 则下列表达式中错误的是 :B)&*x 3. 若已定义 int a=1,*b=&a;,

More information

,310,022, ,382,044, % 1,270,602, ,316,653, % % % 19,720,

,310,022, ,382,044, % 1,270,602, ,316,653, % % % 19,720, 2006 2006 1 1.1 1.2 1.3 1.4 1.5 2 2.1 000520 39 39 027-85321845 85703197 027-85321845 85703197 027-85321845 027-85321845 csc-hy@tom.com csc-hy@tom.com 2.2 2006 2.2.1 3,310,022,385.31 1,382,044,309.28 139.50%

More information

FlexIO 外设模块的主要特性 2 FlexIO 外设模块的主要特性 FlexIO 是指灵活的输入和输出外设 具有多种功能的高度可配置模块 允许模拟标准通信接口 支持多种协议和外设, 包括 : UART I 2 C SPI I 2 S LCD RGB CMT( 载波调制发送器 ) PWM/ 波形生

FlexIO 外设模块的主要特性 2 FlexIO 外设模块的主要特性 FlexIO 是指灵活的输入和输出外设 具有多种功能的高度可配置模块 允许模拟标准通信接口 支持多种协议和外设, 包括 : UART I 2 C SPI I 2 S LCD RGB CMT( 载波调制发送器 ) PWM/ 波形生 Freescale Semiconductor, Inc. 应用笔记 Document Number: AN5034 Rev. 0, 01/2015 使用 FlexIO 模拟 UART 作者 :Pavel Krenek, 应用工程师 Freescale Roznov, 捷克共和国 1 简介 本应用笔记介绍如何使用通用外设模块 FlexIO 模拟 UART 总线 Freescale Kinetis KL43

More information

2

2 第六章.DC-DC 升压模块 1 2 3 4 5 程序通过 PB7 口产生 PWM 波控制 tps61165 工作, 完成 LED 串点亮以及电流控制, 可通过 LCD 开发板上的滚轮调节 PWM 波的占空比进而实现电流的调节 ; 通过 PE2 的 ADC 功能读取电压测量电路中的 OPA330 的输出电压, 并根据电路原理图计算出测量点的电压值, 显示在 LCD 上 ; 通过 PE3 的 ADC

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

技 术 文 件

技  术  文  件 技术文件 技术文件名称 :IAlert 接口使用说明 技术文件编号 : 版 本 :V1.0 共页 ( 包括封面 ) 拟制 审核 会签 标准化 批准 中兴通讯股份有限公司 XX 软件模块详细设计说明 版本号 修改记录 文件编号 版本号 拟制人 / 修改人 拟制 / 修改日期 1 V1.0 胡曦 2005-08-12 新建 更改理由 主要更改内容 ( 写要点即可 ) 注 1: 每次更改归档文件 ( 指归档到事业部或公司档案室的文件

More information

Microsoft Word - µÚ4ÕÂ Basic clock+.doc

Microsoft Word - µÚ4ÕÂ Basic clock+.doc MSP430F2 系列 16 位超低功耗单片机模块原理第 4 章 Basic Clock + 基础时钟模块 + 版本 : 1.4 日期 : 2007.6. 原文 : TI MSP430x2xxfamily.pdf 翻译 : 张超哈尔滨理工大学编辑 : DC 微控技术论坛版主 注 : 以下文章是翻译 TI MSP430x2xxfamily.pdf 文件中的部分内容 由于我们翻译水平有限, 有整理过程中难免有所不足或错误

More information

20140511

20140511 卷 九 唯 識 學 概 要 真 如 緣 起 也 有 它 不 足 的 地 方! 諸 位 法 師 慈 悲, 陳 會 長 慈 悲, 諸 位 菩 薩, 阿 彌 陀 佛! 請 大 家 打 開 講 義 第 二 十 四 面, 我 們 講 到 二 種 子 之 由 來 我 們 這 一 科 是 講 到 依 唯 識 相 安 立 緣 起, 也 就 是 說 從 唯 識 學 的 角 度 來 探 討 我 們 有 情 眾 生 生

More information

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 的 作 者 李 少 白 老 师 以 此 画 册 为 例, 深 刻 分 析 和 探 讨 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 这 本 画 册 最 初 设 想 分 为 四 个 章 节 第 一 章 叫 辉 煌, 第 二 章 叫 梦 想, 第 三 章 叫 神 秘, 第 四 章 叫 飞 歌 为 什 么 分 四 个

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

赛元MCU应用注意事项

赛元MCU应用注意事项 目录 目录... 1 第一部分 : 固件库概述... 2 一 前言... 2 二 固件库架构规则... 2 三 固件库函数调用方法... 4 第二部分 : 固件库函数说明... 6 一 GPIO 固件库函数... 6 二 EXTI 固件库函数... 8 三 PWM 固件库函数... 9 四 ADC 固件库函数... 12 五 TIMER0 固件库函数... 15 六 TIMER1 固件库函数...

More information

石油大学(北京)

石油大学(北京) 大 學 生 安 全 教 育 參 考 資 料 1 對 大 學 生 進 行 安 全 教 育 的 必 要 性 第 一 篇 遵 紀 守 法 篇 第 1 章 安 全 教 育 大 學 生 活 對 於 每 一 位 莘 莘 學 子 來 說 都 是 一 段 美 好 而 又 難 忘 的 時 光 而 這 一 切 從 頭 開 始 的 時 候, 不 大 容 易 被 同 學 們 重 視 的 往 往 是 安 全 問 題 安 全

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作 安 徽 : 道 德 信 贷 帮 助 想 干 事 的 好 人 干 成 事 摘 要 安 徽 省 实 施 道 德 信 贷 工 程 两 年 多 来, 通 过 对 道 德 模 范 和 身 边 好 人 优 先 评 级 授 信 优 惠 贷 款 利 率 等 举 措, 为 有 需 要 的 道 德 模 范 和 身 边 好 人 化 解 资 金 之 渴 道 德 模 范 和 身 边 好 人 用 善 行 义 举 温 暖 了 社

More information

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项 关 于 申 报 2016 年 度 广 东 省 基 础 与 应 用 基 础 研 究 专 项 资 金 ( 省 自 然 科 学 基 金 ) 项 目 的 通 知 来 源 : 广 东 省 科 技 厅 基 础 研 究 与 科 研 条 件 处 发 布 日 期 : 2015-08-12 粤 科 函 基 字 (2015)1150 号 按 照 广 东 省 省 级 财 政 专 项 资 金 管 理 办 法 规 定,2016

More information

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢?

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢? 撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢? 普 雷 斯 科 特 完 全 没 有 掩 饰 他 的 紧 张, 我 想 那 些 墙 壁 很 厚, 它

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

北京市基本医疗保险政策解答

北京市基本医疗保险政策解答 首 都 经 济 贸 易 大 学 全 日 制 本 科 学 生 学 籍 管 理 规 定 首 经 贸 政 发 2013 60 号 第 一 章 总 则 第 一 条 根 据 教 育 部 普 通 高 等 学 校 学 生 管 理 规 定 和 首 都 经 济 贸 易 大 学 学 生 管 理 规 定, 结 合 我 校 实 际 情 况, 制 定 本 规 定 第 二 条 本 科 基 本 修 业 年 限 为 四 年, 实

More information

本科学生毕业论文

本科学生毕业论文 第六章 UART 串口驱动设计 6.1 硬件分析 Mis603 使用 PL2303 桥接芯片, 将串口转 USB 这样做的好处在于, 不局限于台式机的使用 由于现在笔记本并没有传统意义上的 9 针串口接口, 故使用串口转 USB, 兼容台式机和笔记本 但无论使用何种桥接芯片, 其通信协议仍然保持一致 利用该芯片, 完成硬件调试之后, 只需安装完合适的驱动, 即可实现串口进行数据通信 具体的设计电路,

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

<4D F736F F D A F E45BDA8C1A2B9A4B3CCBFAAB7A2BBB7BEB3CFEABDE22E646F63>

<4D F736F F D A F E45BDA8C1A2B9A4B3CCBFAAB7A2BBB7BEB3CFEABDE22E646F63> 步骤如下 : FUJITSU SOFTUNE 建立工程开发环境详解 Project Setup Project 点击 : C compiler 在 Linker 选项下面设定 Rom/RAM 设置输出数据格式 点击 :OK 建立好了工程后, 然后添加文件 :: 点击 :biult all 编译时, 显示如下报错信息 : E4333L: Not specified ROM address (INIT)

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

《C语言程序设计》第2版教材习题参考答案

《C语言程序设计》第2版教材习题参考答案 教材 C 语言程序设计 ( 第 2 版 ) 清华大学出版社, 黄保和, 江弋编著 2011 年 10 月第二版 ISBN:978-7-302-26972-4 售价 :35 元 答案版本 本习题答案为 2012 年 2 月修订版本 一 选择题 1. 设已定义 int a, * p, 下列赋值表达式中正确的是 :C)p = &a A. *p = *a B. p = *a C.p = &a D. *p =

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

Microsoft Word - MSP_430学习笔记.doc

Microsoft Word - MSP_430学习笔记.doc MSP430 学习笔记 (1) 这只是我在学习 TI 公司生产的 16 位超的功耗单片机 MSP430 的随笔, 希望能对其他朋友有所借鉴, 不对之处还请多指教 下面, 开始 430 之旅 讲解 430 的书现在也有很多了, 不过大多数都是详细说明底层硬件结构的, 看了不免有些空洞和枯燥, 我认为了解一个 MCU 的操作首先要对其基础特性有所了解, 然后再仔细研究各模块的功能 1. 首先你要知道 msp430

More information

Microsoft Word - µÚ10ÕÂ Universal Serial Interface.doc

Microsoft Word - µÚ10ÕÂ Universal Serial Interface.doc MSP430F2 系列 16 位超低功耗单片机模块原理第 10 章通用串口界面 Universal Serial Interface 版本 : 1.5 日期 : 2007.5. 原文 : TI MSP430x2xxfamily.pdf 翻译 : 陈安都湖南长沙 - 中南大学编辑 : DC 微控技术论坛版主 注 : 以下文章是翻译 TI MSP430x2xxfamily.pdf 文件中的部分内容 由于我们翻译水平有限,

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列

MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列 MSP430 串行异步通讯原理与实现 南京航空航天大学 魏小龙 本讲讲述串口功能与连接的实现 大多数 MSP430 芯片都有硬件异步通讯功能, 有一些器件有两个通讯端口, 也有少数没有 没有硬件串口的芯片可以实现软件 ( 模拟 ) 串口 下面表格为 430 系列芯片串口的情况 系列芯片 F11 系列 F12 系列 F13 系列 F14 系列 F15 系列 F16 系列 串口数量 0 1 1 2 1

More information

1.5招募说明书(草案)

1.5招募说明书(草案) PUBLIC 2014 1 PUBLIC - 1 2014 1 PUBLIC - 2 2014 1 PUBLIC - 3 2014 1 PUBLIC - 4 2014 1 PUBLIC - 5 2014 1 PUBLIC - 6 2014 1 PUBLIC - 7 2014 1 PUBLIC - 8 2014 1 PUBLIC - 9 2014 1 PUBLIC - 10 2014 1 PUBLIC

More information

使用FlexIO模块模拟I2S总线主机

使用FlexIO模块模拟I2S总线主机 Freescale Semiconductor Document Number: AN4955 应用笔记 Rev 1, 04/2015 使用 FlexIO 模块模拟 I2S 总线主机 作者 : Michael Galda 1 概述 本应用笔记介绍了 FlexIO 外设模块的一种典型用例, 该模块最初是在 Freescale Kinetis KL43 MCU 系列 (ARM CM0+ MCU) 中作为

More information

CM ZT1

CM ZT1 Spansion 模拟和微控制器产品 本文档包含有关 Spansion 模拟和微控制器产品的信息 尽管本文档内有原来开发该产品规格的公司名称 富士通 或 Fujitsu, 该产品将由 Spansion 提供给现有客户和新客户 规格的延续本文档内容并不因产品供应商的改变而有任何修改 文档内容的其他更新, 均为改善文档而进行, 并已记录在文档更改摘要 日后如有需要更改文档, 其更改内容也将记录在文档更改摘要

More information

F²MC-8L/16LX/FR FAMILY

F²MC-8L/16LX/FR FAMILY 富士通半导体 ( 上海 ) 有限公司应用笔记 MCU-AN-500074-Z-13 F²MC-8FX 家族 8 位微型控制器 全系列 低功耗策略 应用笔记 修改记录 修改记录 版本日期作者修改记录 1.0 2009-12-07 Edison, Zhang 初稿 1.1 2009-01-08 Jacky, Zhou 增加细节描述 1.2 2009-01-12 Jacky, Zhou 增加 3.3.4

More information

% % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % %,

% % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % %, : 单 % % % % % % % % % % % % % % (), % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % % %, % % % % %; % % % % %; % % % % % % % % %, % % %

More information

Microsoft PowerPoint - 01_Introduction.ppt

Microsoft PowerPoint - 01_Introduction.ppt Hello, World C 程序设计语言 第 1 章章观其大略 孙志岗 sun@hit.edu.cn http://sunner.cn prf("hello,, world\n"); 超级无敌考考你 : 如何把 hello 和 world 分别打印在两行? 2004-12-19 A Tutorial Introduction 2 hello.c 打印华氏温度与摄氏温度对照表 计算公式 : C=(5/9)(

More information

获取 Access Token access_token 是接口的全局唯一票据, 接入方调用各接口时都需使用 access_token 开发者需要进行妥善保存 access_token 的存储至少要保留 512 个字符空间 access_token 的有效期目前为 2 个小时, 需定时刷新, 重复

获取 Access Token access_token 是接口的全局唯一票据, 接入方调用各接口时都需使用 access_token 开发者需要进行妥善保存 access_token 的存储至少要保留 512 个字符空间 access_token 的有效期目前为 2 个小时, 需定时刷新, 重复 获取 Access Token access_token 是接口的全局唯一票据, 接入方调用各接口时都需使用 access_token 开发者需要进行妥善保存 access_token 的存储至少要保留 512 个字符空间 access_token 的有效期目前为 2 个小时, 需定时刷新, 重复 获取将导致上次获取的 access_token 失效 接入方可以使用 AppID 和 AppSecret

More information

工 作 原 理 电 路 的 工 作 原 理 如 下 : 如 果 积 分 器 输 出 是 正, 第 一 次 比 较 器 将 输 出 一 个 高 信 号 给 触 发 器 的 D 输 入 在 下 一 个 时 钟 脉 冲, 高 信 号 将 从 Q 线 输 出 到 最 后 一 个 比 较 器 的 放 大 器

工 作 原 理 电 路 的 工 作 原 理 如 下 : 如 果 积 分 器 输 出 是 正, 第 一 次 比 较 器 将 输 出 一 个 高 信 号 给 触 发 器 的 D 输 入 在 下 一 个 时 钟 脉 冲, 高 信 号 将 从 Q 线 输 出 到 最 后 一 个 比 较 器 的 放 大 器 Delta Sigma AD 转 换 器 原 理 及 PSPICE 仿 真 作 者 : 陈 拓 2011 年 1 月 5 日 chentuo@ms.xab.ac.cn 概 述 Delta Sigma 或 Σ Δ 模 数 转 换 器 具 有 高 分 辨 率 高 集 成 度 成 本 低 和 使 用 方 便 的 特 点, 近 年 来 得 到 广 泛 的 应 用 特 别 是 Σ Δ ADC 易 于 用 FPGA

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i 目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 1-1 1.1 控制卡 1-1 1.2 GPU 卡 1-5 1.3 网卡 1-8 1.4 FC HBA 卡 1-21 1.5 TPM/TCM 模块 1-29 1.6 NVMe SSD PCle 加速卡 1-31 i 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 本手册为产品通用资料 对于定制化产品, 请用户以产品实际情况为准

More information

Microsoft Word - ha0111t.doc

Microsoft Word - ha0111t.doc 文件编码 :HA0111S 简介 本范例用来实现 HT46RU66 中 UART 的接收功能,HT46RU66 将通过 UART 将接收到的资料在 LCD 上显示出来, 如果接收出错, 还可以显示错误状态 PA 口外接拨码开关, 用于设置 UART 的工作模式 硬件方块图 +,, EI F = O 6 : 4 : 0 6 " $ 4 7 $ $ 2 ) 7 ) 4 6. K? JE 5 J= JK

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

本实验需要用到两个特征值, 两个特征值的属性各不相同, 我们同样在 SimpleGATTProfile 中新建即可, 接下来就开始吧 新建特征值表 : 表 3.3 串口透传特征值属性 长度 属性 UUID 功能 (byte) SIMPLEPROFILE_CHA R6 15 可读可写 FFF6 服务器

本实验需要用到两个特征值, 两个特征值的属性各不相同, 我们同样在 SimpleGATTProfile 中新建即可, 接下来就开始吧 新建特征值表 : 表 3.3 串口透传特征值属性 长度 属性 UUID 功能 (byte) SIMPLEPROFILE_CHA R6 15 可读可写 FFF6 服务器 串口透传 前言 : 有了上面的基础, 接下来就可以打造无线串口功能了 实现平台 :WeBee CC2540 模块及功能底板 图 3.117 网蜂 CC2540 模块及功能底板实验现象 : 两台 PC 通过串口连接 CC2540, 通过设置好串口调试助手, 就可以相互收发信息 也可在一台 PC 利用两个串口实现这个功能 实验讲解 : 整个实验用到两个模块, 一个作为服务器 一个作为客户端, 重点为下面两个方向

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

PTR89 应用程序编程接口 V1.00

PTR89 应用程序编程接口 V1.00 PTR89 便携式热敏打印机 应用程序编程接口 V1.00 如有任何更改, 恕不另行通知 目录 1.0. 简介... 3 1.1. 文档范围和限制... 3 1.2. 参考... 3 2.0. 数据结构... 4 2.1. PRT_PAGE_MODE_PARAM... 4 3.0. API 函数... 5 3.1. Printer_Open... 5 3.2. Printer_Close... 5

More information

<4D F736F F D D0ADD2E9B7D6CEF6CBB5C3F7BCB0B2BFB7D6CAB5CFD6D4B4B4FAC2EB2E646F63>

<4D F736F F D D0ADD2E9B7D6CEF6CBB5C3F7BCB0B2BFB7D6CAB5CFD6D4B4B4FAC2EB2E646F63> GT02 协议分析说明及部分实现源代码 (java 版 ) 版本 :1.0 2010.06.21 一收数据部分 服务端收到的都是字节流 ( 字节数组 ), 处理过程中都是 16 进制处理比较方便, 所以要把字节数组转换成 16 进制字符串 使用 Byte2Hex.Bytes2HexString(byte[] readbytes) 将字节数组转换成 16 进制字符串供以后业务流程使用 二收到数据后的解析

More information

Microsoft Word - 4danalysis-pt3-p2-9.doc

Microsoft Word - 4danalysis-pt3-p2-9.doc TECHNIQUES & METHODS OF 4D ANALYSIS (Part III) 4-D Master, Charles In Part II, I presented the performance (hit rates) of the Most Frequent, Top-10 4D numbers over the last 15 years, ie., from 1 Jan 1996

More information

NEC Electronics Group presentation templates

NEC Electronics Group presentation templates RL78/G13 开发套件 快速入门教程 瑞萨电子 ( 中国 ) 有限公司 2013-8-7 2011 Renesas Electronics (China) Co., Ltd. All rights reserved. REBJ-OS-MC-10015 本教程基本内容 一 RL78/G13 开发套件简介 二 安装开发环境 (CubeSuite+) 三 基于 MCU 板, 仿真环境建立 LED 灯闪烁例程的编写,

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

Cost_Effective C Handbook

Cost_Effective C Handbook BC66F8x0 RF Transceiver Demo Code Description 文件编码 :AN0359S 概述 BC66F8x0 是一款集成 MCU + 2.4GHz RF Transceiver 的 SIP, 通过 SPI 传输方式在 RF Transceiver 与主控 MCU 之间进行控制与数据的交换 由于是 RF Transceiver, 所以可进行 无线的双向传输, 可适用于多种家电

More information

dspic33f 系列参考手册 17.1 简介 通用异步收发器 (Universal Asynchronous Receiver Transmitter,UART) 模块是 dspic33f 器件系列提供的串行 I/O 模块之一 UART 是可以与外设和个人计算机 ( 使用 RS-232 RS-48

dspic33f 系列参考手册 17.1 简介 通用异步收发器 (Universal Asynchronous Receiver Transmitter,UART) 模块是 dspic33f 器件系列提供的串行 I/O 模块之一 UART 是可以与外设和个人计算机 ( 使用 RS-232 RS-48 第 17 章 UART 目录 本章包括下列主题 : 17 17.1 简介... 17-2 17.2 控制寄存器... 17-3 17.3 UART 波特率发生器... 17-10 17.4 UART 配置... 17-12 17.5 UART 发送器... 17-13 17.6 数据位检测... 17-18 17.7 UART 接收器... 17-19 17.8 使用 UART 进行 9 位通信...

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

2014 年 87 月 259 日 K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V3.200 容量 供应商 系列 型号 格式 可用性 兼容性能 备注 500G Seagate Pipeline HD2 ST CS - 可用 Seagate Pi

2014 年 87 月 259 日 K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V3.200 容量 供应商 系列 型号 格式 可用性 兼容性能 备注 500G Seagate Pipeline HD2 ST CS - 可用 Seagate Pi 纠正点从 2014 年 5 月 12 日的版本开始 我们缺少的 4TB 硬盘的型号 : WJ-ND400 / 和 WJ-HD616K / WJ-716K / WJ-ND400 WJ-HD616K WJ-HD716K 4TB 红 40PURX-64GVNY0 AF OK 4TB 红 40EFRX-68WT0N0 AF OK 纠正点 1 2014 年 87 月 259 日 K-HW508K / HW516K

More information

ADSP-BF54x Blackfin®处理器增强的UART

ADSP-BF54x Blackfin®处理器增强的UART Engineer-to-Engineer Note EE-331 更多关于 ADI 公司的 DSP 处理器以及开发工具的技术资料, 请访问网站 :http://www.analog.com/ee-note 和 http://www.analog.com/processor 如需技术支持, 请发邮件至 processor.support@analog.com 或 processor.tools.support@analog.com

More information

本文档为 TI 数字温度传感器 TMP104 的应用入门, 介绍如何利用 MSP430 及 TMP104 来进 行温度的读取及系统设计过程中的注意事项 文中涉及源代码仅供参考, 如需完整代码, 请邮件索取 准备文档 TMP104 Datasheet

本文档为 TI 数字温度传感器 TMP104 的应用入门, 介绍如何利用 MSP430 及 TMP104 来进 行温度的读取及系统设计过程中的注意事项 文中涉及源代码仅供参考, 如需完整代码, 请邮件索取 准备文档 TMP104 Datasheet 本文档为 TI 数字温度传感器 TMP104 的应用入门, 介绍如何利用 MSP430 及 TMP104 来进 行温度的读取及系统设计过程中的注意事项 文中涉及源代码仅供参考, 如需完整代码, 请邮件索取 准备文档 TMP104 Datasheet http://www.ti.com/lit/ds/symlink/tmp104.pdf TMP104 评估板用户手册 http://www.ti.com/lit/ug/sbou118/sbou118.pdf

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 OOP with Java Yuanbin Wu cs@ecnu OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 复习 类的复用 组合 (composition): has-a 关系 class MyType { public int i; public double d; public char c; public void set(double

More information

Siga-S16 FPGA开发板

Siga-S16 FPGA开发板 RS232/484/422 通信模块 AN3485 用户手册 Rev. 1.00 版本记录 版本 时间 作者 描述 Rev1.00 2017-8-15 First Release 2 第一部分 RS232/485/422 通信模块说明 黑金 AN3845 模块专门为工业现场应用设计的 RS232/485/422 通信模块 它包含一路 RS232 接口,2 路 RS485 和 2 路 RS422 通信接口

More information

MSP430单片机简介

MSP430单片机简介 . September 14, 2012 . 1 简介 MSP430 单片机特点超低功耗 2 MSP430 时钟系统 3 MSP430 的端口 4 定时器看门狗定时器定时器 A 5 示例 MSP430 单片机特点. 简介 MSP430 单片机是美国德州仪器 (TI) 公司 1996 年开始推向市场的一种 16 位超低功耗 具有精简指令集的混合信号处理器 它将多个不同功能的模拟电路 数字电路模块和微处理器集成在了一个芯片上

More information

* r p . 4 6 12 3 5 7 8 9bk bm btbsbrbqbp bo bn bl [ ] [ ] [ ] [ ] [SET] 1 2 3 4 5 6 7. cmcl ck 8 9 0 bk bl bm bn bo 1 2 1 2+ - bp bq 8 2 4 6 br r bs p bt ck cl cm 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

Guava学习之CharSequenceReader

Guava学习之CharSequenceReader CharSequenceReader 类是以 CharSequence 的形式读取字符 CharSequenceReader 类继承自 Reader 类, 除了 remaining() hasremaining() 以及 checkopen() 函数之后, 其他的函数都是重写 Reader 类中的函数 CharSequenceReader 类声明没有用 public 关键字, 所以我们暂时还不能调用这个类

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500007-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 外部中断 应用笔记 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi Zhang V1.0, 第一版 本手册共 22 页 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和 / 或规格说明, 联系富士通销售代表或富士通授权分销商

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

LETD型LED灯炮规格的更改

LETD型LED灯炮规格的更改 2018 十二月 1/10 页 软件错误问题软件错误 1 Automation Organizer(WindLDR) 软件之修复 针对版本 : WindLDR 版本 8.2.0 至版本 8.9.1 (Automation Organizer 版本 3.20 至 版本 3.12.1) 注 : 包括由官网下载的版本 当使用以上版本软件, 软件错误 1 将会出现在以下型号 : FC6A CPU 模块 -

More information

< 用于 IA (Industrial Appliances)> ( 续 ) 1 [12.1] 8 [15.0] LQ121S1DG RGB 万 CMOS 6.2 LQ121S1LG 万 LQ121S1LG8

< 用于 IA (Industrial Appliances)> ( 续 ) 1 [12.1] 8 [15.0] LQ121S1DG RGB 万 CMOS 6.2 LQ121S1LG 万 LQ121S1LG8 < 用于 IA (Industrial Appliances)> 8.8 [.5] 8.9 [.5] 9.4 [.7] 11 [4.2] 11 [4.] 14 [5.7] 16 [6.4] 18 [7.0] 21 [8.4] 2 [9.1] 26 [10.1] 26 [10.4] LQ05QDG0 LQ05QDY01 LS07V7DW05 20 RGB 240 240 RGB 20 480 RGB

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 直通华为 HCNA/HCNP 系列 R 篇 3 路由器接口配置与管理 王达著名 IT 图书作者 博客地址 :http://blog.csdn.net/lycb_gz/ 机构名称 : 王达大讲堂 http://edu.csdn.net 同步方式下 Serial 接口配置与管理 参考教材 : 华为路由器学习指南 一 同步方式物理属性配置 配置同步方式下 Serial 接口, 包括配置同步方式下 Serial

More information

K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V G Seagate Pipeline HD2 ST CS - 可用 Seagate Pipeline HD2 ST1000VM002 - 可用 1T Seagate SV35.5

K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V G Seagate Pipeline HD2 ST CS - 可用 Seagate Pipeline HD2 ST1000VM002 - 可用 1T Seagate SV35.5 注意请使用 5T 或 6T 的硬盘架 (WJ-ND400/ WJ-HDE400/WJ-HD716/WJ-HD616) 请不要在 5TB 或 6TB 硬盘底部安 2 颗螺丝 ( 下方标记 ), 因为螺丝要长于螺旋孔的深度 顶视图 底视图 1 K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V3.200 500G Seagate Pipeline HD2 ST3500312CS

More information

static unsigned int n250ms=o; n250ms++; if(n250ms==2){ // 延时 250ms 2=500ms n250ms=0; // 清零计数器 DelayTime&=~Delay500ms;// 复位标志位 WDTCTL=WDTHOLD+WDTPW; 1E

static unsigned int n250ms=o; n250ms++; if(n250ms==2){ // 延时 250ms 2=500ms n250ms=0; // 清零计数器 DelayTime&=~Delay500ms;// 复位标志位 WDTCTL=WDTHOLD+WDTPW; 1E MSP430C 延时程序设计 ( 为了阅读方便, 贴在下面 ) MSP430 是超低功耗 16 位单片机, 越来越受到电子工程师亲睐并得到广泛应用 C 程序直观, 可读性好, 易于移植和维护, 已被很多单片机编程人员所采用 MSP430 集成开发环境 ( 如 IAR Embedded Workbench 和 AQ430) 都集成了 C 编译器和 C 语言级调试器 C SPY 但是 C 语言难以实现精确延时,

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

EFM8BB Microcontroller Family Highest Performance Value MCUs

EFM8BB Microcontroller Family  Highest Performance Value MCUs 独特解决方案满足低功耗应用 Alan Pang 2016-Aug-24 为什么低功耗很重要? 环保 更好的用户体验 节约成本 在某些应用更换电池不切实际 3 低功耗要求 简单的电池供电设备 加密设备 穿戴装置 4 总线供电的网络设备 工业传感器 / 监控器 EFM32 Pearl & Jade Gecko 处理器和内存 Cortex-M4 with FPU or Cortex-M3 Up to 40

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information