<4D F736F F D A F E45BDA8C1A2B9A4B3CCBFAAB7A2BBB7BEB3CFEABDE22E646F63>

Size: px
Start display at page:

Download "<4D F736F F D A F E45BDA8C1A2B9A4B3CCBFAAB7A2BBB7BEB3CFEABDE22E646F63>"

Transcription

1 步骤如下 : FUJITSU SOFTUNE 建立工程开发环境详解

2 Project Setup Project 点击 : C compiler

3 在 Linker 选项下面设定 Rom/RAM 设置输出数据格式

4 点击 :OK 建立好了工程后, 然后添加文件 ::

5 点击 :biult all 编译时, 显示如下报错信息 : E4333L: Not specified ROM address (INIT) E4333L: Not specified ROM address (INIT) E4333L: Not specified ROM address (DIRINIT) E4333L: Not specified ROM address (DIRINIT) 那么就要设置 :project---stup project--linker

6 书写代码 : 只显示要测试的灯的代码 :( 灯一亮一暗的显示 ) void delay(unsigned int i) { unsigned int m,n; for(m=0;m<i;m++) { for(n=0;n<6000;n++) { asm("\tnop"); } } } /****************************************************************************** NAME: main (); FUNCTION: main loop ******************************************************************************/ void main (void) { Init (); while(1) { delay(10); PDR6_P62 = 1; delay(10); PDR6_P62 = 0; } } 这时候直接 start stup 是没有什么现象的, 得需要设置 STUP 设置步骤如下 : 在工程目录下, 右击 :Debug----Chang

7

8

9 点击 :Debug ---start Debug 点击 :Debug ---Run---Go 得到下面的图片, 图片是静止, 当然也看不到闪烁的, 但是这是经过我一步步的操作, 是没啥疑问的!! 图片图下 ::::::::::::::::::::: IO 口寄存器介绍 : PDR: 端口数据寄存器 0: 输出低 1: 输出高 DDR: 数据方向寄存器 0: 输入 1: 输出 PUL: 上拉控制寄存器 0: 禁止 1: 使能 ILSR: 输入电平选择寄存器 0x04:CMOS 0x00: 磁带 ( 只有 PDR0_P04 具有次功能 ) 输入模式 : 有 AD 输入以及数字输入, 由寄存器 :AIDRL_Pyz 来设置 比如 :DDR0_P01=0;( 设置输入 )AIDRL_P01=1;( 禁止 AN1 作为 AD 的输入 )

10 管脚的详细说明 : CN303 代表的管教为 CPU 的第 11 到第 20 管教与板子的相应的对比图是下图 : 红色的 2 为 CN303 的 1, 也就是 P12 ******************************************************************************* ********************************* 分界线 ************************************ ******************************************************************************* 定时器的操作 ( 定时的时间内让 LED 亮与灭 ) 首先看的是定时寄存器的描述 1 控制状态寄存器 0(T00CR0/T01CR0) bit0:f0,bit1:f1,bit2:f2,bit3:f3,bit4:c0,bit5:c1,bit6:c2,bit7:ife 2 控制状态寄存器 0(T00CR1/T01CR1) bit0:oe,bit1:so,bit2:if,bit3:bf,bit4:ir,bit5:ie,bit6:ho,bit7:sta 3 定时器模式寄存器(TMCR0) bit0:fe00,bit1:fe01,bit2:fe10,bit3:fe11,bit4:mod,bit5:iis,bit6:to0, bit7:to1 操作的步骤 : 1 设定模式(T00CR0:[F3:F0])= 设定计数器始终(T000CR0:[C2:C0]) 3 设定计数器值 4 设定中断优先级 5 使能定时器输出(T00CR1:OE=1) 6 启动定时器(T00CR1:STA=1)

11 定时器操作的程序如下 :( 自己测试用的 ) main.c 文件 : #include "mb95200.h" unsigned int Systemtime; /****************************************************************************** NAME: InitCompTimer(); FUNCTION: initial timer for the interval timer (Free run) function ******************************************************************************/ void InitCompTimer (void) { T01DR = 0x01; // set count value (high 8 bit) T00DR = 0xFF; // set count value (low 8 bit) TMCR0 = 0x10; // 16-bit, no filtering T00CR0 = 0x82; // interval timer in free run mode // enable IF flag interrupt T00CR1 = 0xA1; // enable interrupt, enable output // start timer } /****************************************************************************** name: CompTimer (); function: enter ISR while the counter value matches the pre-set value ******************************************************************************/ interrupt void CompTimer (void) { T00CR1_IE = 0; // disable interrupt T00CR1_IF = 0; // clear flag //... // interrupt service routine Systemtime++; T00CR1_IE = 1; } // enable interrupt /****************************************************************************** name: main (); function: main loop ******************************************************************************/ void main (void) { InitCompTimer(); InitIrqLevels(); EI();

12 DDR6_P63 = 1; while (1) { if(systemtime<=10) { PDR6_P63=0; } if(systemtime>=20) { PDR6_P63=1; } if(systemtime>=30) {Systemtime=0;} } } 定时器中断向量的设置 : Vertor.c 文件里面 然后声明中断入口函数 修改中断入口函数 :

13 注意 : 红线的函数为 main 文件的函数 : interrupt void CompTimer (void) P63 控制的蓝色的灯, 现象如下 : 定时器的操作完毕 以定时器为例, 关于中断优先级的解释 : ILR1 = 0xF3; // IRQ4: UART/SIO ch0 // IRQ5: 8/16-bit timer ch0 (lower) // IRQ6: 8/16-bit timer ch0 (upper) // IRQ7: LIN-UART (reception) F3: 二进制为 : 那么 : 11--IRQ4: UART/SIO ch0 11--IRQ5: 8/16-bit timer ch0 (lower) 01--IRQ6: 8/16-bit timer ch0 (upper) 11--IRQ7: LIN-UART (reception) 在每个 ILR 中可以中断优先级 , 优先级 00 为最大,ILR0>ILR5

14 中断源解析 :::: 0 // IRQ0: 外部中断 ch.4 1 // IRQ1: 外部中断 ch.6 2 // IRQ2: 外部中断 ch.2 ch.6 3 // IRQ3 : 外部中断 ch.3 ch.7 4 // IRQ4:UART/SIO ch0 5 // IRQ5:8/16 位多功能定时器 ch.0( 低位 ) 6 // IRQ6:8/16 位多功能定时器 ch.0( 高位 ) 7 // IRQ7:LIN-UART( 发送 ) 8 // IRQ8 :LIN-UART( 接收 ) 9 // IRQ9:8/16-bit PPG ch1 (lower) UART/SIO ch1 10 // IRQ10 :8/16-bit PPG ch1 (upper) I2C ch1 11 // IRQ11:16-bit reload timer ch0(16 位重载定时器 ) 12 // IRQ12:8/16-bit PPG ch0 ( 高 ) (16 位 PPG--ch0 高 ) 13 // IRQ13:8/16-bit PPG ch0 ( 低 )(16 位 PPG--ch0 低 ) 14 // IRQ14:8/16 位多功能定时器 ch.1( 高位 ) 15 // IRQ15 :16-bit PPG ch0 + ch2 (16 位 PPG--ch0+ch2) 16 // IRQ16:16-bit reload timer ch1 I2C ch0(16 位重载定时器 ) 17 // IRQ17:16-bit PPG ch1(16 位 PPG--ch1) 18 // IRQ18:8/10 位 A/D 转换器 19 // IRQ19 : 时基定时器 20 // IRQ20: 计时预分频 21 // IRQ21:external interrupt ch 8-11( 外部中断 ch8--11) 22 // IRQ22:8/16 位多功能定时器 ch.1( 低位 ) 23 // IRQ23: 闪存

15 LIN-UART 的设置 : 内部框图为 :

16 LIN-UART 的寄存器名称以及解析 :

17 工作模式以及设置的注意问题 : LIN-UART 有四种工作模式, 工作模式通过 UART 串行寄存器 (SMR) 的 MD0 和 MD1 位选择 模式 0 与模式 2 用于双向串行通信, 模式 1 用于主 / 从通信, 模式 3 用于 UART 主 / 从通信 UART 串行模式寄存器 (SMR) 的 MD0 与 MD1 位用于选择 UART 工作模式 MD1 MD0 模式 类型 异步 ( 正常模式 ) 异步 ( 多处理模式 ) 同步 ( 正常模式 ) 异步 (LIN 模式 ) 波特率 : LIN-UART 波特率生成器寄存器 1 0(BGR1 BGR0) 分别设置两个 15 位重载计数器波特率的计算式如下 : 重载值 :V=(MCLK/b)-1 v: 重载值 b: 波特率 MCLK: 机器时钟或外部时钟频率计算实例 : 假设机器时钟为 10MHz, 使用内部时钟且波特率设定为 19200bps: v=(10* /19200)-1=520.83=521 因此 : 实际的波特率为 :b=(10* /521)= 异步模式下的通信需要一下顺序设置 : 1 LIN-UART 波特率生成器 1,0(BGR1 BGR0), 将专用波特率重载计数器设置为需求值 2 LIN-UART 串行模式寄存器 (SMR) SCKE:1-- 使用专用波特率重载计数器 0-- 输入外部时钟 SOE: 1-- 允许发送 / 接收 0: 仅允许接收 3 LIN-UART 串行控制寄存器 (SCR) TXE: 1-- 允许发送

18 0-- 禁止发送 RXE: 1-- 允许接收 0-- 禁止接收 AD: 1-- 地址帧 0-- 数据帧 CL: 1-- 数据长度选择 8 位 0-- 数据长度选择 7 位 CRE: 1-- 因错误标志清除, 发送 / 接收停止 0-- 无效 P: 1-- 偶校验 0-- 奇校验 SBL: 1-- 停止位长 停止位长 1 4 LIN-UART 串行状态寄存器 (SSR) BDS: 1--MBS 优先 0--LBS 优先 TIE: 1-- 允许发送中断 0: 禁止发送中断 RIE: 1-- 允许接收中断 0-- 禁止接收中断 5 LIN-UART 扩展通信控制寄存器 (ECCR) SSM: 1-- 使用启 / 停位 ( 扩展功能 ) 0-- 未使用启 / 停位 ( 正常 ) MS: 1-- 串行时钟接收端 ( 从串行时钟的发送端输入 ) 0-- 串行时钟发送端 ( 串串行时钟 )

19 常见问题列表 编译时, 显示如下报错信息 : E4333L: Not specified ROM address (INIT) E4333L: Not specified ROM address (INIT) E4333L: Not specified ROM address (DIRINIT) E4333L: Not specified ROM address (DIRINIT) 解决的方法是在 : 菜单 project/setup project/linker/disposition.../set Section/_INROM01: 中添加两个 section: Section Name Contents Type : CONST Section Name Contents Type : CONST 警告信息 : The section is placed outside the I/O area (IO) 解决的方法是在 : 菜单 project/setup project/linker/disposition.../set Section/Specify in Address: 中添加一个 section: Section Name : IO Address : H'0000 Contents Type : IO 仿真 PPG 定时器时, 在端口看不到数据变化 请问, 如何可以看到输出? simulator 是软件仿真, 一般没有办法仿真定时器 使用时基定时器中断, 用 Softune 去调试 debug, 用软件进行仿真, 可是为什么都进不去中断? softune 在软件仿真的情况下, 不能直接仿真任何的硬件中断, 但是你可以用 Softune 提供的 interrupt 选项来设定中断条件, 达到仿真中断程序的效果

20 富士通的 C 语言与标准 C 有什么区别? 富士通的 c 语言就是标准 C 请问 MB95200H 系列如何烧录, 是否需专用烧录器? 可以用 : 1.Softune + BGMA 2. 第三方开发的通用编程器 3.Programming software + BGMA 如何用 Softune Workbench 往 Flash 单片机里写入程序? Emulator 环境设置中选择 "Auto load when start debug." 先进入 debug 模式, 然后点 "End debug" 正常退出, 程序即写入 target MCU 的 flash 中 在仿真器中, 监视定时器好用吗? 在用 softune 做硬件仿真时, 是否启用 WDT 会优先判断 project 的设置 在 setup/debug Envionment/Debug Envionment... 中如果需要调试 WDT 就要选择 Watchdog Timer Enable. 这样你程序中的有关 WDT 的操作才起作用 否则既是你的软件里面开启了, Watchdog Timer 也不会起作用的 为什么有些工程能用 // 注释, 而有些工程用 // 注释时编译报错, 只能用 /* */? 在 project/setup project/c compiler/c language 中选定这一功能, 就可以了

21 为什么在进行 Debug 时, 所能看到的程序代码不是我最新写的代码, 而总是以前老的代码? 是路径的问题 注意在 c 和汇编编译器配置窗口都可以设路径 如果你的工程不是在 softune 默认的路径的话, 需要自己添加一下 为什么选择 Start debug 之后, 老是弹出 CR trimming has been detected. 然后进不了 debug 状态? 要确保 target MCU 上电后进入了 debug 状态 正确的做法是先连接 BGMA 与 EV board 之间的 IDC cable, 然后插上 USB 线缆使 BGMA 上电, 最后给 EV board 上电 若多次尝试均失败, 则有可能是 target MCU 损坏, 请报修 使用 EV board, 在调试过程当中, 点击 "Reset MCU" 后再运行 code 时, 会出现 "***E4418S: Command error(user reset)." 但之后运行正常, 这是为什么? 有两种可能 : 1.EV board 上 J2 用跳线连上了, 应该在 debug 状态下将其拿掉 ( 注 :debug 模式下连接 J2 有可能会导致 BGMA 硬件损伤 ) 2.target MCU 在运行 user code 之外的时间里收到了 reset 信号 为什么在副 CR 时钟和副时钟模式下调试时,Softune 反应非常慢? 对 Emulator 环境重新设置, 选择 enable "Response speed optimization" 具体做法请参考 AN-quick start 章节

22 BGMA "FMCDC-8FX-101" 与 "MB E" 是否兼容, 能否替换? BGMA "FMCDC-8FX-101" 与 "MB E" 的功能完全不同 "FMCDC-8FX-101" 适用于 MB95F100 系列 ;"MB E" 适用于 MB95200H 系列 两者不能互换使用 为什么通过 SYCC2 寄存器将设为主时钟模式之后, 主时钟依然不工作? MB95200H 系列与以前其他系列不同, 该系列需要设置 SYSC 寄存器来 enable 外部振荡器 具体设置请参考 hardware manual 第 22 章 在调试 MB95200H 系列时可以设置多少断点? 为什么设置完断点后需要长时间的 "downloading the flash memory" softune 支持 256 个断点设置 每次设置 / 取消断点后 MCU 都需要重新 download 程序, 合理的布置断点就可以减少程序更新次数, 提高效率

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500004-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 8/16 位多功能定时器 应用笔记 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi Zhang V1.0, 第一版 本手册共 40 页 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和 /

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500006-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 LIN-UART 应用手册 修改记录 修改记录 日期作者更新记录 2008-03-20 Glede.Luo V1.0, 第一版 本文档由 50 页构成 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和 / 或规格说明,

More information

Microsoft Word - mcu-an z-11.doc

Microsoft Word - mcu-an z-11.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500006-Z-11 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 LIN-UART 应用手册 LIN-UART V1.1 变更履历 变更履历 日期 作者 修正记录 2008-03-20 Glede.Luo V1.0, 第一版 2008-07-22 Glede.Luo V1.1, 修改了通信数据位介绍 修改了

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500009-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 DBG 引脚的使用方法 应用笔记 变更履历 变更履历 日期作者修改记录 2008-03-18 Raven Peng V1.0, 第 1 版 本文档由 12 页构成 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500013-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 监视定时器 应用笔记 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi.Zhang V1.0, 第一版 本文档由 19 页构成 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和 / 或规格说明,

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

CM ZT1

CM ZT1 Spansion 模拟和微控制器产品 本文档包含有关 Spansion 模拟和微控制器产品的信息 尽管本文档内有原来开发该产品规格的公司名称 富士通 或 Fujitsu, 该产品将由 Spansion 提供给现有客户和新客户 规格的延续本文档内容并不因产品供应商的改变而有任何修改 文档内容的其他更新, 均为改善文档而进行, 并已记录在文档更改摘要 日后如有需要更改文档, 其更改内容也将记录在文档更改摘要

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500007-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 外部中断 应用笔记 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi Zhang V1.0, 第一版 本手册共 22 页 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和 / 或规格说明, 联系富士通销售代表或富士通授权分销商

More information

Microsoft Word - mcu-an z-11.doc

Microsoft Word - mcu-an z-11.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500007-Z-11 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 外部中断 应用笔记 外部中断 V1.1 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi Zhang V1.0, 第一版 2008-07-18 Levi.Zhang V1.1, 在第 6 章更多信息中添加 URL; 更新了一些样本程式

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

F²MC-8L/16LX/FR FAMILY

F²MC-8L/16LX/FR FAMILY 富士通半导体 ( 上海 ) 有限公司应用笔记 MCU-AN-500038-Z-10 F²MC-8FX 家族 8 位微型控制器 MB95200 系列 使用矩阵的键盘开发 应用笔记 修改记录 修改记录 版本日期作者修改记录 1.0 3/5/2009 Benjamin. Yang 初稿 本手册包含 19 页 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和 / 或规格说明,

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

775i65PE_BIOS_CN.p65

775i65PE_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 14:00:09] [Wed 10/20/2004] BIOS Version : 775i65PE BIOS P1.00 Processor Type : Intel (R) CPU 3.20 GHz Processor Speed : 3200

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

P4Dual-915GL_BIOS_CN.p65

P4Dual-915GL_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date Total Memory DIMM 1 DIMM 2 [ 14:00:09] [Wed 01/05/2005] BIOS Version : P4Dual-915GL BIOS P1.00 Processor Type : Intel (R) Pentium

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

Microsoft Word - mcu-an z-11.doc

Microsoft Word - mcu-an z-11.doc 富士通微控制器 ( 上海 ) 有限公司应用笔记 MCU-AN-500005-Z-11 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 A/D 转换器 应用笔记 变更履历 变更履历 日期作者修改记录 2008-03-20 Benjamin Yang V1.0, 第 1 版 2008-07-15 Benjamin Yang V1.1, 修订版 本文档由 30 页构成 1. 本文档记载的产品信息及规格说明如有变动,

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

C C C The Most Beautiful Language and Most Dangerous Language in the Programming World! C 2 C C C 4 C 40 30 10 Project 30 C Project 3 60 Project 40

C C C The Most Beautiful Language and Most Dangerous Language in the Programming World! C 2 C C C 4 C 40 30 10 Project 30 C Project 3 60 Project 40 C C trio@seu.edu.cn C C C C The Most Beautiful Language and Most Dangerous Language in the Programming World! C 2 C C C 4 C 40 30 10 Project 30 C Project 3 60 Project 40 Week3 C Week5 Week5 Memory & Pointer

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

Application Note Format

Application Note Format USB 說 2 - AD PWM Office: 6F, No. 12, Innovation 1st. RD., Science-Based Industrial Park, Hsin-Chu City, Taiwan, R.O.C Tel: +886-3-6661766 ext.1672 Fax: +886-3-6661765 Etoms Electronics Corp. Publication

More information

Abstract arm linux tool-chain root NET-Start! 2

Abstract arm linux tool-chain root NET-Start! 2 Lab III - Embedding Linux 1 Abstract arm linux tool-chain root NET-Start! 2 Part 1.4 Step1. tool-chain 4 Step2. PATH 4 Part 2 kernel 5 Step1. 5 Step2... 6 Step3...8 Part 3 root. 8 Step1. 8 Step2. 8 Part

More information

本 院 动 态 山 西 物 联 网 研 讨 会 发 扬 国 泰 安 物 联 网 理 念... 1 政 策 导 读 现 代 职 业 教 育 研 究 院 课 题 公 开 招 标 指 南... 3 专 家 视 点 王 继 平 : 拥 抱 互 联 网 + 加 快 职 业 教 育 步 伐... 9 邱 开 金

本 院 动 态 山 西 物 联 网 研 讨 会 发 扬 国 泰 安 物 联 网 理 念... 1 政 策 导 读 现 代 职 业 教 育 研 究 院 课 题 公 开 招 标 指 南... 3 专 家 视 点 王 继 平 : 拥 抱 互 联 网 + 加 快 职 业 教 育 步 伐... 9 邱 开 金 本 院 动 态 山 西 物 联 网 研 讨 会 发 扬 国 泰 安 物 联 网 理 念... 1 政 策 导 读 现 代 职 业 教 育 研 究 院 课 题 公 开 招 标 指 南... 3 专 家 视 点 王 继 平 : 拥 抱 互 联 网 + 加 快 职 业 教 育 步 伐... 9 邱 开 金 : 面 对 新 常 态, 职 业 教 育 定 力 在 哪 里... 12 职 教 探 索 高 等 职

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

版本说明书

版本说明书 i CHGM-36M * CHGM-36C * CHGM-130M CHGM-130C CHGM-120M * CHGM-120C * CHGC-120M CHGC-120C CHGC-300M CHGC-300C CHGM-530M-1 CHGM-530C-1 CHGM-600C CHGM-600M-1 * CHGM-600M CHGC-30M CHGC-30C CHGM-230 CHGM-230C

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500002-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 基本 FW 设置 应用笔记 变更履历 基本 FW 设置 V1.0 变更履历 日期作者修正记录 2008-03-26 Lori.Li V1.0, 第一版 本文档由 40 页构成 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和

More information

mvc

mvc Build an application Tutor : Michael Pan Application Source codes - - Frameworks Xib files - - Resources - ( ) info.plist - UIKit Framework UIApplication Event status bar, icon... delegation [UIApplication

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

C/C++ - 字符输入输出和字符确认

C/C++ - 字符输入输出和字符确认 C/C++ Table of contents 1. 2. getchar() putchar() 3. (Buffer) 4. 5. 6. 7. 8. 1 2 3 1 // pseudo code 2 read a character 3 while there is more input 4 increment character count 5 if a line has been read,

More information

Microsoft PowerPoint - OPVB1基本VB.ppt

Microsoft PowerPoint - OPVB1基本VB.ppt 大 綱 0.VB 能 做 什 麼? CH1 VB 基 本 認 識 1.VB 歷 史 與 版 本 2.VB 環 境 簡 介 3. 即 時 運 算 視 窗 1 0.VB 能 做 什 麼? Visual Basic =>VB=> 程 式 設 計 語 言 => 設 計 程 式 設 計 你 想 要 的 功 能 的 程 式 自 動 化 資 料 庫 計 算 模 擬 遊 戲 網 路 監 控 實 驗 輔 助 自 動

More information

第4章 系统设置

第4章  系统设置 POST 4.1 POST BIOS POST POST POST POST LOGO LOGO POST BIOS POST POST SCSI SCSI BIOS RAID POST RAID RAID RAID BIOS Operating System not Found BIOS T200 2002 BIOS 4.2 BIOS BIOS

More information

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設 版 權 前 言 本 出 版 物, 包 括 所 有 照 片 插 圖 與 軟 體 均 受 國 際 版 權 法 之 保 護, 所 有 權 利 均 被 保 留 此 說 明 書 和 其 中 所 包 含 的 任 何 材 料 都 不 可 以 在 沒 有 作 者 的 書 面 許 可 下 被 複 製 版 本 1.0 免 責 聲 明 製 造 商 不 對 說 明 書 內 容 作 任 何 陳 述 或 擔 保, 基 於 此

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

untitled

untitled FBC0409 V1.0 1.0 05.06.22 SIA 2005 SIA SIA SIA SIA SIA 114 86-24-23970133 HTTP://WWW.SIA.CN YANG@SIA.CN 2 ...5...5...6 PIN...6...7 1 CPU...8 2...8 4...8 5 DMA...9 7....9 8...9 9...10 A...10 B...10...11.

More information

Adam Equipment

Adam Equipment 1 32 PWC/PGC 200712 Rev.E4 3.30 2 32 1.0...3 2.0...4 3.0...5 4.0...6 5.0...7 5.1...7 5.2...7 5.3...7 6.0...8 7.0...9 7.1...9 8.0 /...10 9.0...11 9.1...11 9.2...11 9.3...11 9.3.1...12 9.4...13 9.4.1...13

More information

<4D6963726F736F667420576F7264202D20D2D4B4CBCEAAD7BC2DBBB7BEB3CEDBC8BED4F0C8CEB1A3CFD5CDB6B1A3C6F3D2B5C3FBB5A53230313431323035B8FCD0C2B0E62E646F63>

<4D6963726F736F667420576F7264202D20D2D4B4CBCEAAD7BC2DBBB7BEB3CEDBC8BED4F0C8CEB1A3CFD5CDB6B1A3C6F3D2B5C3FBB5A53230313431323035B8FCD0C2B0E62E646F63> 附 件 1: 投 保 环 责 险 的 企 业 名 单 (2014 年 11 月 ) 说 明 : 本 次 公 布 的 投 保 企 业 范 围 是 : 目 前 已 投 保 环 境 污 染 责 任 保 险, 且 保 险 合 同 有 效 期 至 2014 年 11 月 15 日 及 之 后 的 投 保 企 业 河 北 省 序 号 1 风 帆 股 份 有 限 公 司 2 中 国 乐 凯 集 团 有 限 公 司

More information

V39用户手册0227.doc

V39用户手册0227.doc 300 2004 (FCC) FCC I/O B Cet appareil numérique de la classe B respecte toutes les exigences du Réglement sur le matériel brouilieur du Canada. Windows Windows 98 Windows 2000 Windows ME Windows XP Microsoft

More information

Microsoft Word - mcu-an z-11.doc

Microsoft Word - mcu-an z-11.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500001-Z-11 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 快速入门指南 应用笔记 变更履历 变更履历 日期作者修改记录 2008-03-20 Ivan Xiao V1.0, 第 1 版 2008-07-21 Ivan Xiao V1.1, 修改图 2.1.3-3 表 2.2.4-1 图 2.1.3-1

More information

Leica S S 2

Leica S S 2 Leica S Leica S S 2 www.leica-microsystems.com (S ) ( ) S 3 (1) S 4 Leica S S ( ) 10 cm S S 5 ( ) Leica S ( ) S 6 ( ) Leica S ( ) S 7 2 3 4 5 8 Leica S 11 12 13 S 14 15 ( ) 17 18 19 20 21 22 23 Leica LED

More information

SDP 1 2 3 4 8 9 10 12 19

SDP 1 2 3 4 8 9 10 12 19 SDP SDP 1 2 3 4 8 9 10 12 19 SDP 2 SDP CANBUS 3m/s 48 1 2 N 3 4 5 6 7 8 9 EMC EMC ENS008212 EN618003 10 IP21 SDP 3 1 1 4 2 5 3 P24 103 104 N24 G24 P24 101 102 N24 G24 J2 J3 n P2 P1 P3 J2 J1 J3 1 P2 P1

More information

untitled

untitled \ \ \ DOP11B 06/2011 16929837 / ZH SEW-EURODRIVE Driving the world 1 5 1.1 5 1.2 5 1.3 6 1.4 6 1.5 6 1.6 6 1.7 6 2 7 2.1 7 2.2 7 2.3 8 2.4 8 2.5 8 2.6 9 2.7 / 11 2.8 11 2.9 11 2.10 11 2.11 12 3 (DOP11B-10

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer)

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer) 1/14 IBM Rational Test RealTime IBM, 2004 7 01 50% IBM Rational Test RealTime IBM Rational Test RealTime 1. 50% IBM Rational Test RealTime IBM Rational Test RealTime 2. IBM Rational Test RealTime Test

More information

untitled

untitled 2 PLC(Programmable Logic Controller) 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 1-1 FX2N CHAPTER 01 3 1. FX2N M I/O I/O I/O M MR AC/DC MS SSR AC MT DC 2. FX2N E E 3. I/O FX N E / DC24V FX N I/O I/O 8ER 4 4 EX

More information

Microsoft Word - ~ doc

Microsoft Word - ~ doc EEPROM 功能使用方法 1 适用产品 : 1.1 SM39R16A2/ SM39R12A2/ SM39R08A2 1.2 SM39R4051/ SM39R2051 1.3 SM39R04G1/ SM39R02G1 2 EEPROM 功能概述 : 2.1 使用 code flash 仿真为 Internal EEPROM, 在程序执行时, 可将 code flash 作为 data flash 储存数据使用

More information

操作指导手册

操作指导手册 GLI P53 C P53 ph/orp 1 Adobe Acrobat GLI gliint.com GLI GLI Adobe Adobe adobe.com 2 FMRC 3600 3611 3810 CSA C22.2 142 C22.2 213 EN 61010-1 TB2 TB3 1 2 3 70 3 GLI P53 GLI GLI GLI GLI GLI GLI 4 GLI ph ph

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

instructions.PDF

instructions.PDF 94 SIMATIC (END) (END) Micro/WIN 32 (STOP) (STOP) CPU RUN STOP STOP CPU RUN STOP (WDR) (Watchdog Reset) (WDR) CPU WDR WDR ( ) I/O ( I/O ) SM (SM0 SM5 SM29 ) 25 0 ms 00 ms STOP 300ms 300ms WDR S7-200 CPU

More information

2_dvdr3380_97_CT_21221b.indd

2_dvdr3380_97_CT_21221b.indd 64 65 66 ALL 3 67 a STANDBY-ON 2 a b c d e f g h i j k l b TIMER c SYSTEM-MENU d e SELECT f REC g. > h TOP MENU i ANGLE j RETURN k SUBTITLE l REC MODE 68 m n REC SOURCE o DISC-MENU p OK q EDIT r PLAYÉ

More information

6020

6020 6020 ... 1 1.1... 1 1.2... 1 1.3 6020... 2 1.3... 5 1.3.1... 5 1.3.2 ISA I/O (S1)... 5 1.3.3 (J4,5,6)... 6 1.3.4... 6... 9 2.1... 9 2.2... 9 2.3 COMPILING AND LINKING... 11 2.3.1 MICROSOFT C MICROSOFT

More information

C/C++程序设计 - 字符串与格式化输入/输出

C/C++程序设计 - 字符串与格式化输入/输出 C/C++ / Table of contents 1. 2. 3. 4. 1 i # include # include // density of human body : 1. 04 e3 kg / m ^3 # define DENSITY 1. 04 e3 int main ( void ) { float weight, volume ; int

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

Model P53 pH-ORP Analyzer CH.doc

Model P53 pH-ORP Analyzer CH.doc 1 Adobe Acrobat GLI gliint.com GLI GLI Adobe Adobe adobe.com 安恒公司 http://www.watertest.com.cn Tel:010-88018877( 北京 ) 021-63176770( 上海 ) 2 FMRC 3600 3611 3810 CSA C22.2 142 C22.2 213 EN 61010-1 TB2 TB3

More information

2 : ; :

2 : ; : 4 CH 1 2 : ; : 1 2 2 3 3 4 4 5 5 6 1 6 2 8 3 11 6 13 1 13 2 14 14 1 15 2 16 3 17 4 18 5 22 6 23 7 24 7 CF 32 8 46 9 : 80GB HD 48 3 3 1 : 4 / / 4 9 2 CHANNEL 1 : 1 3 CHANNEL 2 : 2 4 CHANNEL 3 : 3 5 CHANNEL

More information

TRILITHIC 860DSP / 860DSPi 860 DSP 1. SSR & RSVP +20dBmV +80dBuV -20dBmV~~+20dBmV dBmV +110dBuV -40dBmV~~+50dBmV 3. TEL: (020) FAX: (0

TRILITHIC 860DSP / 860DSPi 860 DSP 1. SSR & RSVP +20dBmV +80dBuV -20dBmV~~+20dBmV dBmV +110dBuV -40dBmV~~+50dBmV 3. TEL: (020) FAX: (0 TRILITHIC 860DSP / 860DSPi 860 DSP & 860 DSPi 2006 11 TEL: (020) 8359 2585 FAX: (020) 8762 7750 www.gzlingyu.com.cn 1 TRILITHIC 860DSP / 860DSPi 860 DSP 1. SSR & RSVP +20dBmV +80dBuV -20dBmV~~+20dBmV 2.

More information

2 EZ 模 式 默 认 情 况 下, 进 入 BIOS 设 置 程 序 时,EZ Mode ( EZ 模 式 ) 屏 幕 会 出 现 EZ 模 式 是 一 个 仪 表 盘, 包 含 系 统 当 前 状 态 的 多 个 读 数 您 可 以 检 查 系 统 最 重 要 的 信 息, 如 : CPU 速

2 EZ 模 式 默 认 情 况 下, 进 入 BIOS 设 置 程 序 时,EZ Mode ( EZ 模 式 ) 屏 幕 会 出 现 EZ 模 式 是 一 个 仪 表 盘, 包 含 系 统 当 前 状 态 的 多 个 读 数 您 可 以 检 查 系 统 最 重 要 的 信 息, 如 : CPU 速 UEFI SETUP UTILITY 1 简 介 本 节 介 绍 如 何 使 用 UEFI SETUP UTILITY 配 置 您 的 系 统 打 开 计 算 机 电 源 后 按 或 , 您 可 以 运 行 UEFI SETUP UTILITY, 否 则, 开 机 自 检 (POST) 将 继 续 其 测 试 例 程 如 果 您 想 要 在 POST 后 进 入 UEFI SETUP

More information

Microsoft Word - SoftICE用户指南.doc

Microsoft Word - SoftICE用户指南.doc SST89E/V5xRD2 SST89E/V554RC SST89E/V564RD SST89E516RD2 单片机 SoftICE 用户指南 1 介绍 SoftICE 的英文是 Software In Circuit Emulator, 翻译成中文是 在电路上的软件模拟, 它是 SST 公司为方便 SST 用户使用 SST89E/V5xRD2 SST89E516RD2 SST89V516RD2 SST89E/V554RC

More information

CAUTION RISK OF ELECTRIC SHOCK DO NOT OPEN 2

CAUTION RISK OF ELECTRIC SHOCK DO NOT OPEN 2 WV-CU950/G WV-CU650/G CAUTION RISK OF ELECTRIC SHOCK DO NOT OPEN 2 S3125A 3 4 5 6 7 8 9 #9 $0 #8 $1 $2 $3 r q w e t $4 i u!0 y WV-CU950!1!3!4!7!6!5!8 @0!9 @3 @2 @1!2 o ALARM ACK ALM RESET ALM SUSPEND ALM

More information

37 立 豐 車 業 林 口 區 新 北 市 林 口 區 工 六 路 26 號 (02) CR 錡 銳 車 業 林 口 區 新 北 市 林 口 區 粉 寮 路 一 段 19 號 (02) 尚 捷 車 業 八 里 區 新 北 市 八 里 區 商 港 路

37 立 豐 車 業 林 口 區 新 北 市 林 口 區 工 六 路 26 號 (02) CR 錡 銳 車 業 林 口 區 新 北 市 林 口 區 粉 寮 路 一 段 19 號 (02) 尚 捷 車 業 八 里 區 新 北 市 八 里 區 商 港 路 各 縣 市 安 裝 據 點 共 計 304 家 1 益 隆 車 業 士 林 區 台 北 市 士 林 區 重 慶 北 路 四 段 244 號 1 樓 (02)2812-7500 2 順 達 車 業 北 投 區 台 北 市 北 投 區 立 農 街 二 段 206 號 (02)2827-3761 3 悍 將 車 業 北 投 區 台 北 市 北 投 區 中 央 北 路 二 段 167 號 (02)2895-5121

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

Table of Contents Design Concept 03 Copyrights & TradeMark 04 Special Notice 05 Notice to concerned 05 Installation and Registration Introduction 07 s

Table of Contents Design Concept 03 Copyrights & TradeMark 04 Special Notice 05 Notice to concerned 05 Installation and Registration Introduction 07 s MapAsia MapKing TM User Guide Full Function Version (Pocket PC and PC) For Microsoft Pocket PC/ Pocket PC 2002/2003 Microsoft Windows XP/2000/Me/98 Edition 2004 ( : ) 2002-2004, MapAsia.com Limited Table

More information

ARK-14013/14017/14018

ARK-14013/14017/14018 ARK-24017F 8 ARK-24017F : 8 2 ii 1997~2000,,,,,, 1...3 1.1 ARK...3 1.2 ARK-24017F...3 ARK-24017F?...3 ARK-24017F...3 ARK-24017F...3 ARK-24017F...5 ARK-24017F...6...7 2.1...7 2.2...7 ARK...7...8...8...8...9

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS RAID (4) SATA (5) SATA (a) S A T A ( S A T A R A I D ) (b) (c) Windows XP

Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS RAID (4) SATA (5) SATA (a) S A T A ( S A T A R A I D ) (b) (c) Windows XP Serial ATA ( Sil3132)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 10 (5) S A T A... 12 Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS

More information

SCTWhiteBoard V1

SCTWhiteBoard V1 中 电 数 码 电 子 白 板 应 用 软 件 V2.0 1 SCT Board V2.0 中 电 数 码 电 子 白 板 应 用 软 件 V2.0 User s Guide 用 户 手 册 中 电 数 码 电 子 白 板 应 用 软 件 V2.0 2 第 一 章 安 装 及 注 册 SCT Board V2 0 1. SCT Board V2.0 介 绍 SCT Board V2.0 是 一 种

More information

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Ác Åé å Serial ATA ( Silicon Image SiI3114) S A T A (1) SATA (2)

More information

C/C++ - 文件IO

C/C++ - 文件IO C/C++ IO Table of contents 1. 2. 3. 4. 1 C ASCII ASCII ASCII 2 10000 00100111 00010000 31H, 30H, 30H, 30H, 30H 1, 0, 0, 0, 0 ASCII 3 4 5 UNIX ANSI C 5 FILE FILE 6 stdio.h typedef struct { int level ;

More information