朝陽科技大學 資訊工程系 專題成果報告 串並聯式電容切換升壓直流轉換器之設計與實作 指導教授 : 張原豪專題組員 : 林子祺 ( ) 陳裕泉 ( ) 張懷祖 ( ) 陳世珍 ( ) 蔡承翰 ( ) 中華民國一零一年一月

Size: px
Start display at page:

Download "朝陽科技大學 資訊工程系 專題成果報告 串並聯式電容切換升壓直流轉換器之設計與實作 指導教授 : 張原豪專題組員 : 林子祺 ( ) 陳裕泉 ( ) 張懷祖 ( ) 陳世珍 ( ) 蔡承翰 ( ) 中華民國一零一年一月"

Transcription

1 朝陽科技大學 資訊工程系 專題成果報告 串並聯式電容切換升壓直流轉換器之設計與實作 指導教授 : 張原豪專題組員 : 林子祺 ( ) 陳裕泉 ( ) 張懷祖 ( ) 陳世珍 ( ) 蔡承翰 ( ) 中華民國一零一年一月

2 目 錄 1. 簡介 研究動機 硬體設備 作業軟體 系統架構 系統架構及原理說明 分向控制電路 電力電路 脈波寬度調變電路 P.W.M 電路 IC 設計與模擬 設計步驟模擬解果 晶片規格與測試 佈局平面圖與晶片打線圖 分相控制電路晶片結論 電路測試與實作 使用 IC 介紹 脈波產生電路實作 分相控制電路實作 脈波寬度調變電路 P.W.M 實作 電路板設計與實作 電路板實現 電路板功能實測與探討 Vs 為 +3V 實際輸出及 Vref 調變功能測試 Vs 為 +2V 實際輸出及 Vref 調變功能測試 電路結果與討論 結論 參考文獻 致謝 附錄 (A)(B)(C)...40 I

3 圖目錄 1.2 硬體設備 示波器 彩色示波器 訊號產生器 電源供應器 系統架構 系統架構圖 分相控制電路 分相控制電路電路圖 分相控制訊號 電力電路 電力電路電路圖 開關動作週期圖 電力電路週期 ( 一 ) 電力電路週期 ( 二 ) 電力電路週期 ( 三 ) 電力電路週期 ( 四 ) 脈波寬度調變電路 P.W.M P.W.M. 脈波寬度調變電路 P.W.M. 脈波寬度調變電路輸出之訊號 晶片設計流程圖 分相訊號控制電路電路層 分相訊號控制電路 LAYOUT 圖 分相訊號控制電路 DRC 驗證結果 分相訊號控制電路 LVS 驗證結果 佈局後模擬 (Post-Simulation) 之波形訊號 佈局前模擬 (Pre-Simulation) 之波形訊號 佈局平面圖與晶片打線圖 佈局平面圖 晶片打線圖 分相控制電路晶片結論 分相控制電路晶片 D35-100C-72e 黃線為 S1 綠線為 S 黃線為 ψ1h 綠線為 ψ2h 黃線為 S5 綠線為 S II

4 4.2.5 黃線為 S7 綠線為 S8* 使用 IC 介紹 Timer IC HA17393 Dual Comparator IC Six inverting buffers IC Six non-inverting buffers IC Quad 2-Input-NAND-Gate IC Dual JK-Flipflop IC 派波產生電路實作 無穩態多諧振盪電路 無穩態多諧振盪電路輸出波形 脈波產生電路實作圖 脈波產生電路輸出訊號 脈波產生電路輸出訊號及 ( 上為 ; 下為 ) 分相控制電路實作 分相控制電路實作圖 分相控制電路樹輸出訊號及 ( 上為 ; 下為 ) 分相控制電路比較訊號及 ( 上為 ; 下為 ) 分相控制電路輸出訊號 分相控制電路比較訊號及 ( 上為 ; 下為 ) 分相控制電路輸出訊號 脈波寬度調變電路 P.W.M. 實作 脈波寬度調變電路 P.W.M. 實作圖 脈波寬度調變電路輸入訊號 Vref 及 Vramp 脈波寬度調變電路輸出訊號 D 脈波寬度調變電路比較訊號 D 及 ( 上為 D; 下為 ) 脈波寬度調變電路輸出訊號 ( 下 ) 控制電路線路圖 電力電路電路板 控制電路電路板 控制電路俯視立體圖 電力電路線路圖 電力電路俯視立體圖 控制電路及電力電路實接測試圖 Vs 為 +3V 時,Vo 輸出的結果 Vref 調升,Vo 輸出的結果 Vref 調降,Vo 輸出的結果 Vs 為 +2V 實際輸出及 Vref 調變功能測試 36 III

5 7.2.1 Vs 為 +2V,Vo 輸出結果 Vref 調升,Vo 輸出結果 Vref 調降,Vo 輸出結果 電路結果與討論...38 IV

6 表目錄 4.1 晶片設計與設計 晶片之設計規格表 晶片各接腳功能定義 V

7 串並聯式電容切換升壓直流轉換器之設計與實作 張原豪林子祺陳裕泉張懷祖陳世珍蔡承翰朝陽科技大學資訊工程系 摘要本專題是採用閉迴路設計之串並聯式電容切換升壓直流轉換器的設計與實作, 是由脈波產生電路訊號透過分相控制電路產生之控制訊號, 來控制由開關 IC (PVR1300N) 及七顆電容所組成之串並聯式電容切換電力電路, 再將電力電路輸出電壓 Vo 衰減後與可調變訊號 Vref, 送至脈波寬度調變器 (Pulse-Width-Modulation,P.W.M.), 藉由此訊號來調整輸出電壓 Vo 大小, 達成閉迴路電路之設計 關鍵字 : 升壓直流轉換器 脈波寬度調變器 串並聯式電容切換電路 - 1 -

8 1. 簡介本專題是將脈波訊號, 透過 T 型正反器 比較器 AND 閘 等, 產生出多個控制訊號來控制電力電路的開關, 藉由不同控制訊號來達成電路串並連和電容充放電, 再透過比較器產生出可調變的控制信號, 藉由此信號可調整輸出電壓, 最高可達至 16 倍壓的直流電壓輸出 1.1 研究動機隨著以電池驅動的可攜式裝置要求高效能與功能多樣化, 其所需求的不只是要求電源電路轉換高效率之外, 在提升電池使用時間及如何在電壓不足狀態下能夠有效使用殘存電力的需求也日益增多, 例如智慧型手機 平板電腦 筆記型電腦等 升壓電路的出現, 解決了能夠有效使用殘存電力的需求, 因此提升電池驅動的裝置的使用時間, 也使得電池驅動的可攜式裝置在電源電路設計方面能更加精巧 所以我們專題是設計一個將低電壓透過倍壓, 升壓成高電壓輸出的電路實作 1.2 硬體設備以下是本專體所使用的硬體設備 : (1). 示波器如下圖 (2). 彩色示波器如下圖 (3). 電源供應器如下圖 (4). 訊號產生器如下圖 圖 示波器 圖 彩色示波器 - 2 -

9 圖 訊號產生器 圖 電源供應器 1.3 作業軟體以下是本專體所使用的作業軟體 : (1).CADENCE 積體電路設計軟體 (2).Hspui 電路功能模擬軟體 (3).Protel DXP2004 電路板設計軟體 1.4 系統架構 坡訊號 本系統架構, 如圖 1.4.1, 主要是斜波產生器 (Ramp generator) 使用 555IC 產生之斜 和脈波訊號, 送至分相訊號產生器 (Phase generator), 產生出多組分 相控制訊號, 在將上述多個訊號送至電力電路 (Power circuit) 使其達成升壓之功能, 再將輸出電壓經過 分壓衰減後及可調變訊號, 一起送至脈波寬度調變 (P.W.M.) 進行比較, 產生出一個工 作週期, 將與透過 AND 產生出, 最後再將送至電力電路 (Power circuit) 達成 閉迴路之設計 - 3 -

10 圖 系統架構圖 2. 系統架構原理及說明 介紹電路個區塊 : 分相訊號產生電路 P.W.M. 脈波寬度調變電路 電力電路, 說 明上述電路架構及原理, 輸出之訊號如何控制電路作動之方式, 及其功能與結果 2.1 分相控制電路 分相控制電路, 如圖 2.1.1, 產生之控制訊號, 如圖 2.1.2, 訊號為開關 之控制訊號 ; 訊號為開關 之控制訊號 ; 訊號及經過 T 型正反器除頻後, 產生訊號及 ; 將訊號和透過 AND 閘後, 產生的訊號為開關 之控制訊號 ; 將訊號和透過 AND 閘後, 產生的訊號為開關 之控制訊號 此電路之目的是, 將此電路產生之多個控制訊號送至電力電路, 藉由這些 訊號來控制電力電路之開關作動 - 4 -

11 圖 分相控制電路電路圖 圖 分相控制訊號 - 5 -

12 2.2 電力電路電力電路由 20 個開關及 7 個電容所組成, 如圖 2.2.1, 將分相訊號產生電路產生之控制訊號, 送至此電路藉由不同之週期訊號, 來達成開關 IC 之動作, 此電力電路之開關動作主要分為四個週期, 如圖 2.2.2, 透過開關的 ON 或 OFF 來改變電路串並連組合, 及控制電容的充放電, 藉此達成串並聯式電容切換升壓直流轉換器之功能 圖 電力電路電路圖 圖 開關動作週期圖 - 6 -

13 接著我們將介紹, 電力電路 4 個週期開關之動作, 及如何達成電路串並連組合和電 容充放電, 藉此達成升壓 16 倍壓的功能 圖 電力電路週期 ( 一 ) 由圖 可知當週期 ( 一 ) 時, 開關 為 ON 其餘為 OFF, 此時電路, 如圖 2.2.3, 所示為並聯充電, 並將電容 充滿至輸入電壓 圖 電力電路週期 ( 二 ) - 7 -

14 由圖 可知當週期 ( 二 ) 時, 開關 為 ON 其餘為 OFF, 此時電路, 如圖 所示, 為左半邊電容模組為串聯放電, 因為週期 ( 一 ) 時電 容 已充滿至輸入電壓, 所以此時串連放電由原本的輸入電壓, 累加上 的電壓, 即達到 4 倍之電壓, 並由這 4 倍電壓為右半邊電容模組進行並聯充 電, 使電容 充電至 4 倍之電壓 圖 電力電路週期 ( 三 ) 由圖 可知當週期 ( 三 ) 時, 開關 為 ON 其餘為 OFF, 此時電路, 如圖 2.2.5, 所示為並聯充電, 並將電容 充滿至輸入電壓, 此週期動作方式 跟週期 ( 一 ) 相同 - 8 -

15 圖 電力電路週期 ( 四 ) 由圖 可知當週期 ( 四 ) 時, 開關 為 ON 其餘為 OFF, 此時電路, 如圖 2.2.4, 所示為左半邊電容模組為串聯放電, 因為週期 ( 三 ) 時電 容 已充滿至輸入電壓, 所以此時串連放電由原本的輸入電壓, 累加上 的電壓, 即達到 4 倍之電壓 ; 此時右半邊電容模組為串連放電, 因為在週期 ( 二 ) 時電容 皆已充電至 4 倍之電壓, 再加上左半邊 4 倍之電壓, 等於 4*4 倍 電壓, 即達成最高 16 倍電壓輸出 假如我們輸入為 2V, 此電路可達成最高 2V*16 即 32V 輸出 ; 若輸入為 3V 此 電路可達成最高 3V*16 即 48V 輸出 2.3 脈波寬度調變電路 P.W.M. 脈波寬度調變電路 P.W.M., 如圖 2.3.1, 由兩個比較器及一個 AND 閘所組成, 將比較器 A 一端輸入斜坡訊號, 另一端輸入調變訊號, 進行比較後輸出訊號 A; 亦將比較器 B 一端輸入斜坡訊號, 另一端輸入衰減後的電力電路輸出電壓, 進行比較後輸出訊號 B, 再將輸出訊號 A B 透過 AND 閘後, 輸出控制訊號 D;P.W.M. 脈波寬度調變電路輸出之訊號, 如圖 此電路之目的是, 藉由調整之電壓, 改變輸出電壓之高低, 當調升時跟著上升, 反之當調降時跟著下降, 藉此來達成電路閉迴路修正之效果 - 9 -

16 圖 P.W.M. 脈波寬度調變電路 圖 P.W.M. 脈波寬度調變電路輸出之訊號

17 3. 電路 IC 設計與模擬 我們實際使用 Cadence IC 晶片設計軟體, 採用 TSMC 0.35um 2P4M 的製程, 來實 作本專題的分相訊號控制電路, 其晶片設計流程圖, 如圖 3.1 圖 3.1 晶片設計流程圖 3.1 設計步驟與模擬結果首先使用 Cadence Schematic 繪製電路層, 如圖 3.1.1, 再使用 Hspice 進行電路層的模擬 (Pre-Simulation) 來測試電路功能是否正常, 若測試功能正常後, 接著使用 Cadence Virtuoso 繪製 Layout 圖, 如圖 所示, 電路繪製完成後, 我們將分相控制電路接上 I/O PAD 來進行 DRC 規則錯誤驗證結果, 如圖 3.1.3, 圖中所顯示出的 AMS 錯誤是為 TSMC 數年未更新 IO Layout,Rule 改變後出現 DRC error, 並非所有 AMS 系列錯誤都可以忽略, 僅當 AMS 系列錯誤出現在 IO PAD 上時才可以忽略, 故在此處是可以忽略, 接著使用 LVS 來做 Schematic 與 Virtuoso 的相互驗證, 如圖 所示, 出現驗證成功的笑臉圖示, 最後再使用 Hspice 進行 Layout 層模擬 (Pro-Simulation), 如圖 所示, 並藉此判斷是否如電路層模擬 (Pre-Simulation), 如圖 所示, 與預期結果相同

18 圖 分相訊號控制電路電路層 圖 分相訊號控制電路 LAYOUT 圖

19 圖 分相訊號控制電路 DRC 驗證結果 圖 分相訊號控制電路 LVS 驗證結果

20 圖 佈局後模擬 (Post-Simulation) 之波形訊號 圖 佈局前模擬 (Pre-Simulation) 之波形訊號

21 4. 晶片規格與測試 表 4.1 為晶片之設計規格及佈局前後規格差異, 表 4.2 為晶片各接腳功能定義 製程技術 電源電壓 最大工作頻率 晶片面積 3.3 V 2kHz Pre-Sim TSMC 0.35μm 2P4M 1500μm 1500μm 電晶體數目 146 顆 118 顆 消耗功率 mW 表 4.1 晶片之設計規格表 Pin1 Vramp 斜波電壓輸入端 Pin2 V1 直流參考電壓輸入端 Pin3 T1 比較器訊號輸出 1 Pin4 T2 比較器訊號輸出 2 Pin5 Vss 零電位輸入端 Pin6 D 控制信號輸出 Pin7 S8* 控制信號輸出 Pin8 S7 控制信號輸出 Pin9 S5 控制信號輸出 Pin10 S3 控制信號輸出 Pin11 S1 控制信號輸出 Pin12 ψ1h 控制信號輸出 Pin13 ψ2h 控制信號輸出 Pin14 Vdd 正電源端 Pin15 Vii 脈波電壓輸入端 Pin17 Vi 脈波電壓輸入端 Pin18 Vh 直流參考電壓輸入端 Post-Sim 表 4.2 晶片各接腳功能定義

22 晶片測試考量, 參考, 表 4.2 晶片各接腳功能定義進行測試 將脈波電壓 Vi 接至 T 型正反器, 輸出為控制信號輸出 ψ1h ψ2h; 將 Vi 接至兩個 NOT 閘, 輸出為控制信號輸出 S1; 將 Vii 接至兩個 NOT 閘, 輸出為控制信號輸出 S3; 將 ψ1h 及 S3 接至 AND 閘, 輸出為控制信號輸出 S5; 將 ψ2h 及 S3 接至 AND 閘, 輸出為控制信號輸出 S7; 將斜坡電壓 Vramp 及直流參考電壓 Vh Vl 接至兩個比較器, 輸出為控制信號輸出 D; D 及 S7 接至 AND 閘, 輸出為控制信號輸出 S8* 將 經由以上之實作與模擬, 我們確知這顆分相控制電路可以由外部電路的脈波電壓 Vi 透過 T 型正反器, 以及輸入之斜坡電壓 Vramp 和直流參考電壓 Vh Vl 透過兩個比較器電路比較之後之直流參考電壓透過比較器做比較後, 產生輸出 ψ1h ψ2h S1 S3 S5 S7 S8* 由此可知, 此晶片符合設計時所預期的動作行為, 能夠對整個外部之電路有規律性的來達到開關之控制 4.1 佈局平面圖與晶片打線圖 圖 為佈局平面圖 ; 圖 為晶片打線圖 CKT name : 串並聯式切換電容升壓型直流轉換器之分相控制電路 Technology : 0.35um CMOS 2P4M ( 使用製程 ) OPUS Package : 18S/B ( 包裝種類 ) Chip Size : x mm2 ( 晶片面積 ;mm2) Transistor/Gate Count : 146MOS / 0BJT ( 電晶體 / 邏輯閘數 ) Power Dissipation : mW ( 功率消耗 ;mw) Max. Frequency : 2kHz ( 最高工作頻率,Hz) Testing Results : function work partial work fail

23 圖 佈局平面圖 圖 晶片打線圖

24 4.2 分相控制電路晶片結論經由以上之實作與模擬, 我們確知這顆分相控制電路晶片, 圖 4.2.1, 可以由外部電路的脈波電壓 Vi 透過 T 型正反器, 以及輸入之斜坡電壓 Vramp 和直流參考電壓 Vh Vl 透過兩個比較器電路比較之後之直流參考電壓透過比較器做比較後, 產生輸出 ψ1h ψ2h, 圖 4.2.2, S1 S3, 圖 4.2.3, S5 S7, 圖 4.2.4, S8*, 圖 由此可知, 此晶片符合設計時所預期的動作行為, 能夠對整個外部之電路有規律性的來達到開關之控制 圖 分相控制電路晶片 D35-100C-72e

25 圖 黃線為 S1 綠線為 S3 圖 黃線為 ψ1h 綠線為 ψ2h 圖 黃線為 S5 綠線為 S7 圖 黃線為 S7 綠線為 S8*

26 5. 電路測試與實作 經過電路模擬及分相控制電路晶片下線, 我們將電路使用市售 IC 實現於麵包板上, 經過測試後功能正確且無異常, 再將電路移植到電路板上 5.1 使用 IC 介紹我們所使用的市售有 Timer IC, 圖 HA Dual Comparator IC, 圖 Six inverting buffers IC, 圖 Six non-inverting buffers IC, 圖 Quad 2-Input-NAND-Gate IC, 圖 Dual JK-Flipflop IC, 圖 圖 Timer IC 圖 HA17393 Dual Comparator IC 圖 Six inverting buffers IC 圖 Six non-inverting buffers IC

27 圖 Quad 2-Input-NAND-Gate IC 圖 Dual JK-Flipflop IC 5.2 脈波產生電路實作我們使用 Timer IC 來製作脈波產生電路, 將接腳接成, 圖 5.2.1, 之無穩態多諧振盪電路, 其輸出波形為, 圖 5.2.2, 輸出頻率為可調式最高約 2KHz, 再將其輸出送至 T 型正反器, 我們實際將電路接在麵包板上, 圖 5.2.3, 並量測 555 輸出波形, 圖 5.2.4, 及 T 型正反器輸出波形, 圖 圖 無穩態多諧振盪電路 圖 無穩態多諧振盪電路輸出 波形

28 圖 脈波產生電路實作圖 圖 脈波產生電路輸出訊號

29 圖 脈波產生電路輸出訊號及 ( 上為 ; 下為 ) 5.3 分相控制電路實作 分相控制電路其電路架構及原理, 已在 ( 第四頁,2.1 分相控制電路 ) 介紹過, 在 此將直接以實作的方式呈現 訊號通過兩個正反器衝後, 為開關 個正反器衝後, 為開關 之控制訊號同上, 圖 之控制訊號 ; 訊號通過兩 分相控制電路實際接在麵包板上, 圖 5.3.1, 並將脈波產生電路輸出訊號, 輸入至此電路, 及再經過 T 型正反器除頻後, 產生訊號及, 圖 5.3.2,; 將訊號和, 圖 5.3.3, 透過 AND 閘後, 產生的訊號為, 圖 5.3.4; 將訊號和, 圖 5.3.5, 透過 AND 閘後, 產生的訊號為開關, 圖

30 圖 分相控制電路實作圖 圖 分相控制電路樹輸出訊號及 ( 上為 ; 下為 )

31 圖 分相控制電路比較訊號及 ( 上為 ; 下為 ) 圖 分相控制電路輸出訊號

32 圖 分相控制電路比較訊號及 ( 上為 ; 下為 ) 圖 分相控制電路輸出訊號

33 5.4 脈波寬度調變電路 P.W.M. 實作 脈波寬度調變電路其電路架構及原理, 已在 ( 第九頁,2.3 脈波寬度調變電路 P.W.M.) 介紹過, 在此將直接以實作的方式呈現 脈波寬度調變電路實際接在麵包板上, 圖 5.4.1, 我們用 HA17393 IC 作為本電路的比較器, 我們以及作為比較器輸入訊號範例, 圖 5.4.2, 將兩個比較器結果輸出, 再透過 AND 閘, 其輸出訊號 D, 圖 5.4.3, 再將跟 D, 圖 5.4.4, 透過 AND 閘, 輸出可調變訊號, 圖 5.4.5, 即完成脈波寬度調變電路 P.W.M. 實作與測試 圖 脈波寬度調變電路 P.W.M. 實作圖

34 圖 脈波寬度調變電路輸入訊號 及 圖 脈波寬度調變電路輸出訊號 D

35 圖 脈波寬度調變電路比較訊號 D 及 ( 上為 D; 下為 ) 圖 脈波寬度調變電路輸出訊號 ( 下 )

36 6. 電路板設計與實現我們使用 Protel DXP2004 來設計電路, 為了使電路簡化及美觀, 所以我們把電路分成兩塊電路板, 第一塊我們把脈波產生電路 分相控制電路 脈波寬度調變電路 P.W.M. 設計整合到一塊電路板上, 稱作控制電路, 圖 6.1, 控制電路立體圖, 圖 6.2; 第二塊是將採用內置 2 個開關的 IC(PVR1300N) 共 10 顆 IC 7 顆電容 輸出衰減電路, 製成的電力電路, 圖 6.3, 電力電路立體圖, 圖 6.4 圖 6.1 控制電路線路圖

37 圖 6.2 控制電路俯視立體圖 圖 6.3 電力電路線路圖

38 圖 6.4 電力電路俯視立體圖 6.1 電路板實現將設計完的線路圖, 實現在電路板上, 原本採用雕刻機雕刻電路板的方式來製作, 但因雕刻機故障, 因此改用洞洞板手焊的方式來製作, 以下就是我們完成的控制電路, 圖 6.1.1; 電力電路, 圖

39 圖 電力電路電路板 圖 控制電路電路板

40 7. 電路板功能實測與探討我們將控制電路及電力電路相接實測, 圖 7.1, 控制電路輸入電壓 +5V 和, 電力電路基準電壓 +3V 及 +2V, 測試其是否可達到升壓 16 倍壓之功能輸出 圖 7.1 控制電路及電力電路實接測試圖 7.1 為 +3V 實際輸出及調變功能測試現在我們實際給予 +3V 的輸入電壓, 將測試其是否可以升壓制 16 倍壓, 測試結果, 如圖 7.1.1, 實際輸出電壓約為 46.5V, 雖未達到預計的 16 倍壓, 但仍可達到約 15 倍壓以上的水準, 有成功達到預期目標 接下來我們將測試調變功能, 首先我們將調升, 圖 7.1.2, 由圖中可知當調升至 +3V 時, 可達約 15 倍壓 +46V 的輸出 ; 再來我們將調降, 圖 7.1.3, 可知當調降至 +2.6V 時, 可達約 10 倍壓 +30V 的輸出

41 圖 為 +3V, 輸出結果 ( 輸入電壓為下 ; 輸出電壓為上 ) 圖 調升, 輸出結果 ( 調整電壓為下 ; 輸出電壓為上 )

42 圖 調降, 輸出結果 ( 調整電壓為下 ; 輸出電壓為上 ) 7.2 為 +2V 實際輸出及調變功能測試現在我們實際給予 +2V 的輸入電壓, 將測試其是否可以升壓制 16 倍壓, 測試結果, 如圖 7.2.1, 實際輸出電壓約為 30V, 雖未達到預計的 16 倍壓, 但仍可達到約 15 倍壓以上的水準, 有成功達到預期目標 接下來我們將測試調變功能, 首先我們將調升, 圖 7.2.2, 由圖中可知當調升至 +3.1V 時, 可達約 15 倍壓 +30V 的輸出 ; 再來我們將調降, 圖 7.2.3, 可知當調降至 +2.6V 時, 可達約 10 倍壓 +20V 的輸出

43 圖 為 +2V, 輸出結果 ( 輸入電壓為下 ; 輸出電壓為上 ) 圖 調升, 輸出結果 ( 調整電壓為下 ; 輸出電壓為上 )

44 圖 調降, 輸出結果 ( 調整電壓為下 ; 輸出電壓為上 ) 7.3 電路結果與討論此電能功能皆能達到預期之水準, 並能穩定的工作, 但仍有幾項缺失 : (1) 無法完全達到 16 倍壓升壓可能原因如下 : 可能是因為電容值太大或太小, 導致電容無法充放電至應有電壓 ; 電路工作頻率太低 ; 電路工作時正常就會消耗流失一些電壓 (2) 脈波寬度調變電路 P.W.M., 可調整區間太小可能原因如下 : 脈波電壓區間太小 以上幾項缺點, 雖不會對電路功能及穩定性造成太大的影響, 但我們仍會進行測試 並改進, 使此電路更加完美

45 8. 結論經過一年半的專題製作, 終於順利完成了此專題, 製作過程包括晶片下線 電路測試 電路實作, 尤其在晶片下線更是一項挑戰, 遇到了不少難題, 其中在電路除錯時, 耗費了不少時間與精力, 後來以區塊式檢查錯誤, 才順利解決問題, 也順利將晶片下線完成, 但因時間關係來不及將晶片製作成電路, 真是非常可惜 測試電路時, 我們經過不斷測試及修改電路, 才順利升壓至約 15 倍壓, 雖不如預期的 16 倍壓, 但已在正常功能輸出範圍 在電路板製作更是花費了近 3 個月的時間製作, 原本要使用雕刻機雕刻電路, 但雕刻機問題不斷, 後來整個壞掉, 導致我們只能以手焊方式完成電路, 先是後來索性直接重焊才將問題解決, 後來推斷原因可能是相接的排線銜接問題 最後總算是完成了此專題串並聯式電容切換升壓直流轉換器之設計與實作 9. 參考文獻 1. 類比 CMOS 積體電路設計 / 作者 :Behzad Razavi ; 審校 : 李泰成 2. 運算放大器與電晶體活用手冊 / 黑田徹原著 ; 何中庸譯 3. 類比積體電路分析與設計 / 葛瑞 (Paul R. Gray), 麥葉 (Robert G. Meyer) 著 ; 胡振國編譯 4. 類比 IC 之應用電路設計與實習修訂版陳漢臣編著 5. CMOS 數位積體電路分析與設計作者 :Kang,Sung-Mo, 列布列拜西 10. 致謝 感謝財團法人國家實驗研究國家晶片設計中心提供免費教育性晶片製作, 供本專題 研究使用, 申請梯次 D35-100Be D35-100C-72e 感謝專題指導教授張原豪老師及資工系研究生郭松穎 廖佳傑學長細心的教 學及指導

46 附錄 A 串並聯式切換電容升壓型直流轉換器之分相控制電路 Phase Generator of Series-Parallel Switched-Capacitor Boost DC-DC Converter 一 簡介 : 林子祺 陳裕泉 陳世珍 s @cyut.edu.tw 連絡電話 : 本文旨在討論適用於控制外接電路開關的分相控制電路 目前最常用的有冷熱溫控 光控等等之應用上 在此我們使用多相產生控制器針對外部所連接到的開關控制電路做設計與實現 控制器主要透過外部電路所產生之鋸齒波與兩個脈波, 鋸齒波與兩個參考之直流電壓做比較, 而兩個脈波則依照 T-Flip-Flop 及 AND 閘的特性來進行處理, 最後得到我們所預期之時脈 如圖 (1) 在應用程式方面, 使用 C a d e n c e 來設計多相電路, 並搭配 H s p i c e r 來模擬多相電路工作情形, 再將多相電路 Layout 圖加上 I/O PAD 進行各項驗證逐一檢查修正, 直至完全無誤 此多相產生控制器電路的設計流程如圖 (2) 經過本文所研製的分相產生控制器電路, 可以針對外部電路判定需開啟哪一個檔次的開關 也因此能讓電路擁有更完善的控制, 進而避免電路誤動作 圖 (1) 分相控制電路示意圖 二 原理架構及說明 : 如圖 (3) 為多相產生控制器電路 在電路部份, 本電路使用了一個 T 型正反器和兩個比較器及三個 AND 閘來製作一個開關控制器 圖 (2) 分相控制電路之設計流程圖

47 本分控制電路是利用外部電路產生之兩個脈波訊號透過 T 型正反器和 AND 閘產生六個不同的脈波訊號 以及另一外部電路產生之斜波訊號, 與兩個輸入的直流參考電壓透過兩個比較器來做電壓準位的比較, 當斜坡 θ 角與兩個輸入的直流參考電壓透過兩個比較器來做電壓準位的比較, 當 θ 角之角度越大時所切的準線就越高,θ 角之角度越小時所切的準線就越低 最後各別將比較過後所得到的時脈利用 AND 閘之特性來達到所預期之高低準位狀態, 來控制外接電路開關之動作 (A)T 型正反器 (T-Flip-Flop): T 型正反器 (T-Flip-Flop) 如圖 (4), 將外部電路訊號 (ψ1) 輸入至 T 型正反器 (CK), 藉由產生之脈波變化, 作為多相產生控制器電路之輸出 (ψ1h ψ2h), 圖 (5) 為 T 型正反器真值表 狀態表 圖 (4) T 型正反 (T-Flip-Flop) 真值表 T 狀態表 T CK 圖 (5) T 型正反器真值表 狀態表 圖 (3) 多相產生控制器電路三 電路詳圖 : 多相產生控制器電路如圖 (3) 所示 此電路是由 (A)T 型正反器 (B)NOT 閘 (C)AND 閘 (D) 雙比較器電路 反向史密特電路組成 (B)NOT 閘 : 本電路是兩個由單向輸入單向輸出的 NOT 閘所組成, 其功能是將輸入端作反向之處理, 結果根據圖 (6) 真值表所示 凡輸入端為 0 則輸出為 1, 反之為 0 在此電路中, 為了使輸出波形更為好看, 所以分別在比較器及 T 型正反器電路之後端添加兩個反相器, 使得高低起伏更為完整 A B 圖 (6) NOT 閘與真值表

48 (C)AND 閘 : 本電路 AND 閘是將 NAND 閘輸出接上一個 NOT 閘, 所構成的 AND 閘 結果根據圖 (7) 真值表所示 本電路採雙端輸入單端輸出之 AND 閘, 兩輸入端都為 1 則輸出才為 1, 反之為 0 * 雙比較器輸出端後, 以及輸出端 (S8*) 前, 亦為 AND 閘 A B O 繪製 Layout 圖, 如圖 (9) 所示 電路繪製完成後, 使用 DRC 做 Layout 圖本身的規則錯誤驗證, 結果如圖 (10), 我們亦將分相控制電路接上 I/O PAD 來進行 DRC 規則錯誤驗證結果如圖 (11), 圖中所顯示出的 AMS 錯誤出現在 I/O PAD 上時可以忽略 故在此處是可以忽略 接下來對分相控制電路使用 LVS 來做 Schematic 與 Virtuoso 的相互驗證, 出現驗證成功後的微笑圖示, 驗證結果如圖 (12) 所示, 最後再使用 Hspice 進行 Layout 層模擬 (Pro-Simulation), 並藉此判斷是否如電路層模擬 (Pre-Simulation) 之預期結果相同 圖 (7) AND 閘與真值表 (D) 雙比較器電路 : 電路的比較器部分是由兩個雙向輸入及單向輸出的數位式電壓比較器所組成, 其特性分成正負兩端, 當正端大於負端時輸出則為 1, 反之則為 0 我們將兩個比較器 (C1 C2), 將 C1 的負端和 C2 的正端相接, 輸入一個鋸齒波, 並在 C1 的正端和 C2 的負端分別輸入兩個直流準位, 經由比較後得到兩個時脈, 再分別輸入到 AND 閘 A B 端, 處理後得到我們預期的時脈, 並在後方加上一個反向史密特電路來使輸出波形更完整 圖 (8) 分相控制電路之電路層 四 電路模擬結果 : 本分相控制電路藉由以上詳細的說明, 我們實際使用 Cadance 來實現此電路 先以 Schematic 繪製電路圖, 如圖 (8) 所示, 完成後再使用 Hspice 進行電路層模擬 (Pre-Simulation) 來驗證電路動作是否正常, 確認動作完全無誤後, 再以 Virtuoso 圖 (9) 分相控制電路之 LAYOUT 圖

49 下方圖 ( 1 3 ) 圖 ( 1 4 ) 為電路層模擬 ( P r e - S i m u l a t i o n ) 及佈局後模擬 (Post-Simulation) 的輸入訊號與波形圖之結果對照, 各部份電路輸出的狀態波型 圖 (10) 分相控制電路之 DRC 結果 圖 (13) Vh Vramp Vl D ψ1h ψ2h S1 S3 S5 S7 S8* Pre-Simulation 波形 圖 (11) 分相控制電路加上 I/O PAD 之 DRC 結果 圖 (14) Vh Vramp Vl D ψ1h ψ2h S1 S3 S5 S7 S8* Post-Simulation 波形 圖 (12) 分相控制電路之 LVS 結果 完成後, 得到如表 (1) 所示的規格表, 因採指電晶體 LAYOUT 方法, 故 Pre-sim 與 Post-sim 電晶體數目會不相同 而各個輸入出接腳的定義也如表 (2) 所示, 此表可對應打線圖的 Pin 腳做一個說明

50 表 (1) Pre-Sim Post-Sim 製程技術 TSMC 0.35μm 2P4M 電源電壓 3.3 V 最大工作頻率 2kHz 晶片面積 1500μm 1500μm 電晶體數目 146 顆 118 顆 消耗功率 mW 表 (2) Pin1 Vramp 斜波電壓輸入端 Pin2 Vl 直流參考電壓輸入端 Pin3 T1 比較器訊號輸出 1 Pin4 T2 比較器訊號輸出 2 Pin5 VSS 零電位輸入端 Pin6 D 控制信號輸出 Pin7 S8* 控制信號輸出 Pin8 S7 控制信號輸出 Pin9 S5 控制信號輸出 Pin10 S3 控制信號輸出 Pin11 S1 控制信號輸出 Pin12 ψ1h 控制信號輸出 Pin13 ψ2h 控制信號輸出 Pin14 VDD 正電源端 Pin15 Vii 脈波電壓輸入端 Pin17 Vi 脈波電壓輸入端 Pin18 Vh 直流參考電壓輸入端 五 測試考量 : 如表 ( 二 ), 將脈波電壓 Vi 接至 T 型正反器 輸出為控制信號輸出 ψ1h ψ2h; 將 Vi 接至兩個 NOT 閘 輸出為控制信號輸出 S1; 將 Vii 接至兩個 NOT 閘輸出為控制信號輸出 S3; 將 ψ1h 及 S3 接至 AND 閘輸出為控制信號輸出 S5; 將 ψ2h 及 S3 接至 AND 閘輸出為控制信號輸出 S7; 將斜坡電壓 Vramp 及直流參考電壓 Vh Vl 接至兩個比較器輸出為控制信號輸出 D; 將 D 及 S7 接至 AND 閘輸出為控制信號輸出 S8*; 六 結論經由以上之實作與模擬, 我們確知這顆分相控制電路可以由外部電路的脈波電壓 Vi 透過 T 型正反器, 以及輸入之斜坡電壓 Vramp 和直流參考電壓 Vh Vl 透過兩個比較器電路比較之後之直流參考電壓透過比較器做比較後, 產生輸出 ψ1h ψ2h S1 S3 S5 S7 S8* 由此可知, 此晶片符合設計時所預期的動作行為, 能夠對整個外部之電路有規律性的來達到開關之控制 七 參考文獻 : 1. 類比 CMOS 積體電路設計審校 : 李泰成滄海書局作者 :Behzad Razavi 2.CMOS 數位積體電路分析與設計作者 :Kang,Sung-Mo, 列布列拜西 3. 數位邏輯 - 使用 Verilog 設計作者 :Stephen Brown,Zvonko Vrnesic

51 佈局平面圖 CKT name : 串並聯式切換電容升壓型直流轉換器之分相控制電路 Technology : 0.35um CMOS 2P4M ( 使用製程 ) OPUS Package : 18S/B ( 包裝種類 ) Chip Size : x mm2 ( 晶片面積 ;mm2) Transistor/Gate Count : 146MOS / 0BJT ( 電晶體 / 邏輯閘數 ) Power Dissipation : mW ( 功率消耗 ;mw) Max. Frequency : 2kHz ( 最高工作頻率,Hz) Testing Results : function work partial work fail

52 打線圖

53 附錄 B 定電流鋸齒波及非重疊二相方波產生器之電路設計 Current-Mode Ramp and Non-overlapping Two-Phase Clock Generator 楊柏鴻 羅博謙 張懷祖 s @cyut.edu.tw s @cyut.edu.tw s @cyut.edu.tw 聯絡電話 : 一 簡介 : 本文主旨在討論如何以一直流電壓經電路 轉會後產生脈波輸出 而可將此脈波輸出應用在 切換電容升壓型逆轉換器之弦式分相控制電 路 上使用, 不需外接輸入訊號, 只需加入電源 即可產生 如圖 (1) 所示為定電流鋸齒波及非重疊 二相方波產生器波形示意圖 而 切換電容升壓 型逆轉換器之弦式分相控制電路 與本 定電流 鋸齒波及非重疊二相方波產生器 兩者結合, 將 會產生 切換電容升壓型 DC-AC 逆轉換器, 此轉換器的主要功能顧名思義, 就是利用電容與 開關之間的配合, 以即將一直流電壓轉換回交流 電壓 此電路是以 Cadence Tools 作為基礎設計工 具, 並且搭配並 Hspice 來設計並模擬控制器的各 種工作情形, 再將其 Layout 圖加上 I/O PAD 進 行各項驗證的步驟, 直到每一個步驟結果都是正 確無誤才算完成 整個定電流鋸齒波及非重疊二 相方波產生器的設計流程如圖 ( 2 ) 經過此設計 流程後, 就可以利用此電路產生一個簡單的脈波 輸出 著藉由正反器的工作原理產生所需的脈波 此脈波的波形會與電容的充電時間有關, 當充電時間與放電時間越快脈波的脈寬會越短, 而脈波的頻率也會越快, 反之充電時間與放電時間越慢脈波的脈寬也相對越長, 而脈波的頻率也會越慢 圖 (1). 定電流鋸齒波及非重疊二相方波產生器波形示意圖 二 原理架構及說明 : 本定電流鋸齒波及非重疊二相方波產生器如圖 (3), 由電流鏡 電容 施密特觸發器 (Schmitt trigger) 反向器(Inverter) 與 T 型正反器 (Toggle Flip-Flop) 所組成 本定電流鋸齒波及非重疊二相方波產生器是利用電流鏡所產生的定電流對電容進行充電, 而斜波是因為電容充放電的過程中所產生的充電與放電曲線所致, 在經由施密特觸發電路 (Schmitt trigger) 與反向器將斜波轉換為觸發 T 型正反器 (Toggle Flip-Flop) 所需的信號, 接 圖 (2). 定電流鋸齒波及非重疊二相方波 產生器之設計流程

54 三 電路詳圖 : 脈波產生電路如圖 (3), 此電路由 (A) 電流鏡 (B) 電容充放電電路 (C) 施密特觸發器 (Schmitt trigger) 反向器(Inverter) 與 (D)T 型正反器 (T Flip-Flop) 組成 (A) 電流鏡 : 此電流鏡是由兩顆 PMOS 所組而合成, 藉由此兩顆電晶體在設計時通道寬長比的不同, 所造成兩邊電流依據寬長比比例的不同, 而有所改變, 讓兩支路產生等比例的定電流 而電流鏡的左下方接了一顆 NMOS, 此顆 NMOS 是用來替代電阻使用, 以當作負載來控制電流的大小 (B) 施密特觸發器 反向器 : 此為反向施密特觸發器, 使用了三顆 PMOS 與三顆 NMOS 所組成, 其工作原理為當輸入端輸入電壓大於轉態準位 (VH) 時則輸出端會轉變為低電壓, 反之當輸入端輸入電壓小於轉態準位 (VL) 時則輸出端會轉變為為高電壓, 如圖 (4-1) 所示為施密特觸發器之電路符號與輸入輸出曲線圖 而反向器使用了一顆 PMOS 與一顆 NMOS 所組成的, 其工作原理為當輸入為高電壓時輸出為低電壓反之輸入為低電壓時輸出為高電壓, 如圖 (4-2) 所示為反向器之電路符號與真值表 (C) 電容充放電電路 : 此電路由電容和一顆 NMOS 所組成, NMOS 在此當作開關使用 而電容是經由電流鏡所產生的定電流進行充電, 當電容上的電壓到達施密特觸發器之轉態準位 (VH) 時, 輸出之值為低電位, 在經由反向器將此值轉換為高電位, 來觸動 NMOS 的閘極, 使電晶體的通道導通, 形成電容放電迴路, 當電容經由放電迴路持續放電, 而電壓下降到施密特觸發器轉態準位 (VL) 時, 輸出之值為高電位, 反向器將此值轉換為低電位, 使電晶體的通道關閉放電迴路消失, 電容又開始充電, 反覆的重複充電與放電的動作 如圖 (5) 為充電路徑與放電路徑圖 (D) T 型正反器 : 此為正緣觸發 T 型正反器, 是由二十顆 PMOS 與二十顆 NMOS 所組成, 正反器在電路當中主要是靠觸發開關電晶體通道導通的那一小段高電位, 所行成的規律訊號來當作 T 型正反器的 Clock, 進而推動正反器運作, 產生所需的脈波輸出 ф1 與 ф2 而將 T 型正反器的 T 端接上高電位, 是為了當 Clock 的正緣送達時讓 Q 值發生轉變, 來形成規律的脈波輸出 如圖 (6) 為 T 型正反器之電路符號 真值表以及狀態波形 圖 (3). 定電流鋸齒波及非重疊二相方波產生器圖 (4-1). 施密特觸發器圖 (4-2). 反向器

55 電路繪製完成之後, 使用 DRC 做 Layout 圖本身的規格錯誤驗證, 結果如圖 (9), 圖中所顯示出的 AMS 錯誤是為 TSMC 數年未更新 IO Layout, Rule 改變後出現 DRC error 並非所有 AMS 系列的錯誤都可以忽略, 僅當 AMS 系列錯誤出現在 IO PAD 上時才可以忽略 故在此處是可以忽略 接著使用 LVS 來做 Schematic 與 Virtuoso 的相互驗證, 驗證結果如圖 (10) 所示, 出現驗證成功的微笑提示, 最後再使用 Hspice 進行 Layout 層模擬 (Pro-Simulation), 並藉此判斷是否如電路層模擬 (Pre-Simulation) 之預期結果相同 圖 (5). 充電路徑與放電路徑圖 圖 (7). 電路層電路示意圖 圖 (6).T 型正反器電路符號 真值表及狀態波形 四 電路模擬結果 : 藉由以上詳細的說明, 我們實際使用 Cadance 來實現此分相產生控制器 首先使用 Candence Schematic 畫出電路圖, 如圖 (7) 所示, 完成之後使用 Hspice 進行電路層模擬 (Pre-Simulation) 來驗證電路動作是否正常, 確認動作無誤後, 使用 Candence Virtuoso 繪製 Layout 層, 如圖 (8) 所示 而圖 (7) 以及圖 (8) 可以與圖 (3) 相互對應 圖 (8).Layout 佈局層示意圖

56 VQ1 與 VQ2 為 T 型正反器的輸出波形, 兩者的波形也呈現相反狀態 此波形是將 Vinout 之信號作為正反器之 Clock 使用, 進而推動正反器所產生的 圖 (9). DRC 驗證結果 圖 (11).(Pre-Simulation) 波形示意圖 圖 (10). LVS 驗證成功提示圖 圖 (11) 及圖 (12) 為電路層模擬 (Pre-Simulation) 及佈局後模擬 (Post-Simulation) 的訊號波形圖之結果對照, 由圖中所示 Vcout 為電容上的電壓, 造成此規律斜波的產生是因為電容充放電所致 第二項 Vsout 為施密特觸發器輸出端之波形, 此波形為當電容電壓達到轉態準位 (VH) 的瞬間, 經由施密特與反向器轉換, 觸發開關電晶體形成放電迴路, 電容瞬間放電到達轉態準位 (VL) 的一段極短時間所形成的波形 Vinout 為反向器輸出之波形, 與施密特觸發器輸出波形呈現相反狀態 圖 (12). (Post-Simulation) 波形示意圖將上述的每一個步驟一一比對驗證完成後, 會得到如表 (1) 所示的規格表 而各個輸入輸出接腳的定義也如表 (2) 所示, 此表可對應打線圖的 Pin 腳做一個說明

57 製程技術 TSMC 0.35μm 2P4M 電源電壓 3.3 V 最大工作頻率 2kHz 晶片面積 1500μm 1500μm 電晶體數目 52 顆 消耗功率 mW 表 (1). 規格列表 Pin5 GND 零電位輸入端 Pin6 Q1 脈波信號輸出 Pin10 Q2 脈波信號輸出 Pin11 Vsout 施密特觸發器輸出測試點 Pin14 VDD 正電源端 Pin15 Vcout 斜波輸出測試點 Pin18 Vinout 脈波信號輸出試點 七 參考文獻 1. 類比 CMOS 積體電路設計作者 :BehzadRazavi 審校 : 李泰成滄海書局 2.CMOS 數位積體電路分析與設計作者 : Kang,Sung-Mo, 列布列拜西 3. 數位積體電路設計作者 : 淺田, 邦博 4. 數位邏輯 --- 使用 Verilog 設計作者 :Stephen Brown,ZvonkoVranesic 5. 數位邏輯電路設計作者 : 曼諾 (Mano, M. Morris) 6.Design of Analog CMOS Integrated Circuits 作者 :BehzadRazavi(McGraw-Hill). 7.VLSI 設計概論 / 實論作者 : 李博明 唐經洲 ( 高立圖書 ). 表 (2). 接腳定義 五 測試考量如表 (2), 將 0V 之零電位接至輸入腳 GND; 脈波信號輸出接至 Q1 與 Q2; 施密特觸發器輸出測試點接至 Vsout ; 將 DC3.3V 之正電源接至 VDD; 斜波輸出測試點接至 Vcout; 脈波信號輸出試點接至 Vinout 本電路並無訊號輸入端, 只需注意 VDD 與 GND 兩點的輸入是否為規定值, 而其餘的 Q1 Q2 Vsout Vcout 與 Vinout 都為輸出端與測試點 總括以上所述測試考量, 在測試只須注意電壓的供應是否正確即可, 反之則可能有非預期或壞損的產生 六 結論經由以上之實作與模擬的結果, 我們確知此定電流鋸齒波及非重疊二相方波產生器, 能將一 DC3.3V 之電壓經由電容充放電電路 施密特觸發器 反向器與 T 型正反器的轉換後能得到一脈波輸出 由此可知, 此晶片符合設計時所預期的動作行為, 能夠產生規律性的脈波輸出, 以提供使用

58 佈局平面圖 CKT name : 定電流鋸齒波及非重疊二相方波產生器電路設計 Technology : 0.35um CMOS 2P4M ( 使用製程 ) OPUS Package : 18S/B ( 包裝種類 ) Chip Size : x mm2 ( 晶片面積 ;mm2) Transistor/Gate Count : 52MOS / 0BJT ( 電晶體 / 邏輯閘數 ) Power Dissipation : mW ( 功率消耗 ;mw) Max. Frequency : 2kHz ( 最高工作頻率,Hz) Testing Results : function work partial work fail

59 打線圖

60 附錄 C 教育性晶片測試成果報告 (100 年度 ) 學校 / 系所朝陽科技大學 / 資訊工程系 IC 編號 D35-100C-72e 課程名稱 實務專題 授課老師 張原豪 ( 中英文 ) Special Project cyhfyc@mail.cyut.edu.tw 專題名稱 ( 中英文 ) 串並聯式切換電容壓升壓直流轉換器之分相控制電路 Phase Generator of Series-Parallel Switched-Capacitor Boost DC-DC Converter 參與學生 姓名 / 系所級 林子祺 / 資工系四年級 陳裕泉 / 資工系四年級 張懷祖 / 資工系四年級蔡承翰 / 資工系四年級 陳世珍 / 資工系四年級 聯絡人 姓名 : 林子祺電話 : 傳真 : s @cyut.edu.tw 1. 摘要我們所設計的脈波寬度調變 (pulse-width-modulation,pwm), 可依使用者所要求的參考電壓來改變倍壓輸出, 將倍壓輸出限制在參考電壓之間, 以達到更精準地控制所預期的倍壓輸出 此設計利用 PWM 可調控電壓的特性, 將 PWM 與時序控制組合 讓 PWM 輸出經由時序電路同步來控制倍壓電路 在電壓倍壓時, 只要給定適當的負載, 透過晶片的設計給予參考電壓, 晶片便可依照要求的參考電壓值, 給予相對應的倍壓輸出, 達成可精準且有彈性的升壓控制 Abstract We designed the pulse width modulation, users are required to follow the reference voltage to change the times of the output voltage, output voltage will be limited to times between the reference voltages, in order to achieve more precise control the expected voltage doubler output. This design can control the use of PWM voltage characteristics, the combination of PWM and timing control. By the timing circuit to synchronize the PWM output to control the voltage doubler circuit. In the voltage doubler, as long as a given the appropriate load, given by the design of the chip reference voltage, the chip can be in accordance with requirements of the reference voltage value, given the times corresponding to the output voltage to reach accurate and flexible can boost control. Keyword: PWM

61 2. 測試方法與測試儀器 一 使用量測之儀器 : (1) 信號產生器 (2) 數位示波器 (TDS220) (3) 電源供應器 二 量測環境 : 如圖 1 所示 三 測試方法 使用函數產生器依模擬的波形給予晶片 Vin 和斜波, 再從電源供應器調整 Vref 作為參考電壓和模擬回授電壓兩者之間的變化, 觀察晶片輸出是否模擬出來結果一致 ; 如果結果一致, 則將方波和斜波頻率調高, 觀察此晶片最高的工作頻率為何, 同時也測試出分頻波形是否正確 如圖 2-4 所示為我們所設計之 IC 中的 PWM 波型圖 S8* 3. 測試結果 圖 2-1 輸出波形圖 ( 黃色為 Vi 綠色為 Vii) 圖 2-2 輸出波形圖 ( 黃色為 ɸ1h 綠色為 ɸ2h)

62 圖 2-3 輸出波形圖 ( 黃色為 S5 綠色為 S7) 圖 2-4 輸出波形圖 ( 黃色為 S7 綠色為 S8*) 圖 2-5 輸出波形圖 ( 黃色為 T1 綠色為 T2) 4. 預計規格與實測結果 圖 2-6 輸出波形圖輸出為 D 表 1 規格表 預計規格 實測結果 製程技術 TSMC 0.35μm 2P4M TSMC 0.35μm 2P4M 電源電壓 3.3 V 3.3 V 最大工作頻率 2KHz 2.51KHz 晶片面積 1500μm 1500μm 1500μm 1500μm 電晶體數目 118 顆 118 顆 消耗功率 mW 2.51mW 4. 討論與結論 經由上述的測試方法與結果, 得知此晶片中的輸出信號 S8* 與模擬出來的結 果不一致, 而其他輸出信號則正常無誤 Hspice 模擬與實際測量的數據並無相差 太多, 只是消耗功率比模擬的結果有點高 可能在佈局方面, 沒有將連接線路最 佳化, 而導致過多的連線 也有可能因為外部電路的影響, 導致不單純只有晶片 的消耗功率

63 5. 圖表 ( 含晶片佈局圖 ) *** Chip Features CAD Tools *** CKT name : 串並聯式切換電容壓升壓直流轉換器之分相控制電路 HSPICE Technology : 0.35um CMOS 2P4M ( 使用製程 ) OPUS Package : 18S/B ( 包裝種類 ) Chip Size : x mm2 ( 晶片面積 ;mm 2 ) Transistor/Gate Count : 118 MOS / 0BJT ( 電晶體 / 邏輯閘數 ) Power Dissipation Max. Frequency : mW ( 功率消耗 ;mw) : 2KHz ( 最高工作頻率,MHz) Testing Results : function work partial work fail (partial work 或 fail 時請勾選以下之符合原因 ) Layout 佈局考慮不周 ( 電路佈局不對稱或純粹佈局相關 失誤 ) 量測儀器之量測範圍考量不周詳導致無法量測或無考 慮量測儀器的負載效應 佈局考量不周及缺乏完整的 EM 驗證 (Both) 電路設計考量不周 (Design Rule 未仔細閱讀等 ) 未考量製程或 bonding 的 variation 後製程失敗 其他, 請說明 : 消耗功率稍大 _

朝陽科技大學 資訊工程系 專題成果報告 四階串並聯式切換電容升壓逆轉換器之設計與實作 指導教授 : 張原豪專題組員 : 楊柏鴻 ( ) 林政維 ( ) 林宏洲 ( ) 羅博謙 ( ) 王峻鴻 ( ) 中華民國 年十二月

朝陽科技大學 資訊工程系 專題成果報告 四階串並聯式切換電容升壓逆轉換器之設計與實作 指導教授 : 張原豪專題組員 : 楊柏鴻 ( ) 林政維 ( ) 林宏洲 ( ) 羅博謙 ( ) 王峻鴻 ( ) 中華民國 年十二月 朝陽科技大學 資訊工程系 專題成果報告 四階串並聯式切換電容升壓逆轉換器之設計與實作 指導教授 : 張原豪專題組員 : 楊柏鴻 (9727077) 林政維 (9727137) 林宏洲 (9727083) 羅博謙 (9727026) 王峻鴻 (9727158) 中華民國 1 0 0 年十二月 目錄 1. 專題簡介... 2 1.1 研究動機... 2 1.2 設備介紹... 2 2. 系統架構...

More information

切換電容式搭配電感諧振之升壓型直流轉換器的製作

切換電容式搭配電感諧振之升壓型直流轉換器的製作 朝陽科技大學 資訊工程系 專題成果報告 切換電容式搭配電感諧振之升壓型直流轉換器的製作 指導教授 : 張原豪博士專題組員 : 紀家榤 (9827096) 傅元柏 (9827084) 中華民國 101 年 12 月 目錄 摘要 3 1. 專題簡介 4 1.1 研究動機 4 1.2 研究目標 4 2. 系統架構與原理 4 2.1 系統架構 4 2.2 電路原理 5 3. 研究方法與步驟 6 3.1 電路設計

More information

串並聯切換電容搭配耦合電感式升壓直交流逆轉換器之製作 Implementation of Serial-Parallel-Switched-Capacitor Coupled-Inductor Boost DC-AC Inverter 張原豪 / 陳彥碩 / 許芝瑜 / 楊尚諭朝陽科技大學資訊工程系

串並聯切換電容搭配耦合電感式升壓直交流逆轉換器之製作 Implementation of Serial-Parallel-Switched-Capacitor Coupled-Inductor Boost DC-AC Inverter 張原豪 / 陳彥碩 / 許芝瑜 / 楊尚諭朝陽科技大學資訊工程系 串並聯切換電容搭配耦合電感式升壓直交流逆轉換器之製作 Implementation of Serial-Parallel-Switched-Capacitor Coupled-Inductor Boost DC-AC Inverter 張原豪 / 陳彥碩 / 許芝瑜 / 楊尚諭朝陽科技大學資訊工程系 413 台中市霧峰區吉峰東路 168 號 Tel:( 04)2332-3000 E-mail:cyhfyc@mail.cyut.edu.tw

More information

電晶體放大電路

電晶體放大電路 一 實習目的 訊號產生電路 1. 了解方波 三角波及鋸齒波產生電路之基本原理與應用 2. 以運算放大器為主動元件, 分析及設計各種非正弦波產生器電路 二 使用材料 實習一 方波產生器項目 編號 名稱 數量 5k 1 電阻 10k 2 20k 1 30k 1 電容 0.1μ 1 0.01μ 1 二極體 D ( 小功率整流用 ) 2 運算放大器 A 741 1 A 741 放大器輸出入腳位圖 實驗二 三角波產生器

More information

(CIC) H10-86A 審查會議時間表

(CIC) H10-86A 審查會議時間表 請注意 : T25HVG2-105B 梯次下線晶片資料 1. 此資料包含下線晶片資料與不下線晶片資料 2. 下線晶片資料按 10% 部分負擔 新進教師晶片 預核計畫晶片 優良晶片 教育性晶片排列 3. 申請編號中, 大寫英文字母代表 :A:10% 部分負擔,N: 新進教師晶片,P: 預核計畫晶片,I: 優良晶片, E: 教育性晶片 ; 尾端小寫英文字母代表 :a: 使用 Cell-based Design

More information

題目預覽~教師專用

題目預覽~教師專用 CH10 積體電路一 選擇題 ( 每題 0.93 分, 共 29.76 分 : 1. D 在數位邏輯中, 反或閘的符號為 (A (B (C (D 2. A 右圖符號表示何種閘 (A 集極開路輸出 (B 射極開路輸出 (C 集極閉路輸出 (D 射極閉路輸出 3. B 右圖 DIP IC 頂視圖, 第一支接腳位置在 (AA 腳 (BB 腳 (CC 腳 (DD 腳 4. B 右圖 符號為 (AAND GATE

More information

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (ND,OR,NOT,NND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 7 6 5 4 3 2 POWER U-3 STRIGHT HEDER HI :RED LO:NO DISPLY +V -V

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

Lab 4

Lab 4 EE4650 通訊實驗 Lab 4 PSK, FSK, and ASK Digital Modulations 1 Lab 4:PSK, FSK, ASK Digital Modulations Baseband Tx RF Frontend M- Seq 0101 Error Correction coding 010110 Digital Modulation D/A BPF PA cos(2πf

More information

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94 NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz 23 90 CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94/188GHz LC class-b 0.70 0.75 mm 2 pad 1 V 19.6 ma (ƒ

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

(Phase Locked Loops, PLL PLL (Chipset PLL GHz 6M Hz 96M USB2.0 USB2.1 6M Hz [1][2]

(Phase Locked Loops, PLL PLL (Chipset PLL GHz 6M Hz 96M USB2.0 USB2.1 6M Hz [1][2] (91 _ (91 91 1 7 A08-91A E-mail : mail@mail.cycu.edu.tw / / (03456789-111 0912345678 (034567890 E-mail : d12345@cycu.edu.tw 1. 2. E-mail E-mail Research and design on phase locked loops for clock generator

More information

46 2011 11 467 數位遊戲式學習系統 7 2011 11 467 47 3 DBGameSys 48 2011 11 467 正規化資料模組 如何配置並儲存電子化資料 以 便減少資料被重覆儲存的程序 DBGameSys的主要功能模組包 學習者 審核評分模組 含 正規化資料模組 審核評分 模組 高分列表模組3大區塊 系統資料庫 在正規化資料模組的執行 高分列表模組 過程中 先要求學習者瀏覽遊戲

More information

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

穨_2_.PDF

穨_2_.PDF 6 7.... 9.. 11.. 12... 14.. 15.... 3 .. 17 18.. 20... 25... 27... 29 30.. 4 31 32 34-35 36-38 39 40 5 6 : 1. 2. 1. 55 (2) 2. : 2.1 2.2 2.3 3. 4. ( ) 5. 6. ( ) 7. ( ) 8. ( ) 9. ( ) 10. 7 ( ) 1. 2. 3. 4.

More information

14A 0.1%5% 14A 14A.52 1 2 3 30 2

14A 0.1%5% 14A 14A.52 1 2 3 30 2 2389 30 1 14A 0.1%5% 14A 14A.52 1 2 3 30 2 (a) (b) (c) (d) (e) 3 (i) (ii) (iii) (iv) (v) (vi) (vii) 4 (1) (2) (3) (4) (5) 400,000 (a) 400,000300,000 100,000 5 (b) 30% (i)(ii) 200,000 400,000 400,000 30,000,000

More information

(Chi)_.indb

(Chi)_.indb 1,000,000 4,000,000 1,000,000 10,000,000 30,000,000 V-1 1,000,000 2,000,000 20,000,00010,000,0005,000,000 3,000,000 30 20% 35% 20%30% V-2 1) 2)3) 171 10,000,00050% 35% 171 V-3 30 V-4 50,000100,000 1) 2)

More information

本章綱要 -1 節點電壓法 -2 迴路電流法 -3 重疊定理 - 戴維寧定理 -5 諾頓定理 -6 戴維寧與諾頓等效電路之轉換 -7 最大功率轉移定理 Chapter 直流網路分析 0626-0.indd 125 2009/11/10 下午 0:58:09

本章綱要 -1 節點電壓法 -2 迴路電流法 -3 重疊定理 - 戴維寧定理 -5 諾頓定理 -6 戴維寧與諾頓等效電路之轉換 -7 最大功率轉移定理 Chapter 直流網路分析 0626-0.indd 125 2009/11/10 下午 0:58:09 ELECTRICITY ELECTRICITY BASIC BASIC 本章學習目標 1. 利用節點電壓法分析各支路的電流 2. 利用迴路電流法分析各迴路的電流 3. 瞭解重疊定理在多電源電路的應用. 利用戴維寧與諾頓定理化簡電路 5. 瞭解戴維寧與諾頓等效電路的轉換 6. 學習負載如何在電路中獲得最大的功率轉移 0626-0.indd 12 2009/11/10 下午 0:58:02 本章綱要 -1

More information

電晶體放大電路

電晶體放大電路 電晶體偏壓電路及共射極放大電路 一 實習目的 () 了解電晶體偏壓電路和工作點與穩定度等觀念 (2) 熟悉電晶體各種偏壓的電路 (3) 了解共射極電晶體基本放大電路 (4) 能量測共射極電晶體基本放大電路的交流參數 二 使用材料 零件名稱 零件值 數量 KΩ 2 2 KΩ 2.2 KΩ 3.3 KΩ 4.7 KΩ 電阻 0 KΩ 2 5 KΩ 22 KΩ 47 KΩ 68 KΩ 00 KΩ 2 可變電阻

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

SVS26CS Sensors Module User Guide Rev. 1.0 Aug Copyright 2018 Sivann Inc., All Rights Reserved.

SVS26CS Sensors Module User Guide Rev. 1.0 Aug Copyright 2018 Sivann Inc., All Rights Reserved. User Guide Rev. 1.0 Aug. 2018 Copyright 目錄 一 介紹... 2 1.1 相關開發文件... 2 1.2 硬體規格... 3 二 硬體配置... 5 三 接腳圖... 7 四 接腳描述... 8 五 版本歷史... 10 Rev. 1.0 1 / 10 一 介紹 Sivann 為一通用型的感測器應用模組, 其包含了 6 種常用的感測器與 2 種控制器 感測器包含溫濕度

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

ated Current( 耐電流 ): 1-3A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 155 (42) 1.±.5.5±.5.5±.5.1~ (63) 1.6±.15.8±

ated Current( 耐電流 ): 1-3A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 155 (42) 1.±.5.5±.5.5±.5.1~ (63) 1.6±.15.8± ated Current( 耐電流 ): 1-3A( 安培 ) INTODUCTION 產品介紹 Multilayer high current chip beads are SMD components that possess a low DC resistance. Their impedance mainly comprises resistive part. Therefore, when

More information

ated Current( 耐電流 ): 1-3A( 安培 ) EQUIVALENT CICUIT DIAGAM 等效電路圖 Electrical Characteristics 電氣特性 Impedance 阻抗 DC esistance ated Current Part Number Ω±25

ated Current( 耐電流 ): 1-3A( 安培 ) EQUIVALENT CICUIT DIAGAM 等效電路圖 Electrical Characteristics 電氣特性 Impedance 阻抗 DC esistance ated Current Part Number Ω±25 ated Current( 耐電流 ): 1-3A( 安培 ) EQUIVALENT CICUIT DIAGAM 等效電路圖 Electrical Characteristics 電氣特性 Impedance 阻抗 DC esistance ated Current Part Number Ω±25% 歐姆直流電阻耐電流產品料號 @ 1MHz Ω (Max.) 歐姆 ma (Max.) 15 系列

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

中医疗法(下).doc

中医疗法(下).doc ( 20 010010) 787 1092 1/32 498.50 4 980 2004 9 1 2004 9 1 1 1 000 ISBN 7-204-05940-9/R 019 1880.00 ( 20.00 ) ...1...2...3...4...13...15...17...17...24...25...26...26...28...28...29...31...31...33...33

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

ated Current( 耐電流 ): >3 A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 2129 (85) 2.± ± /-.2.2~ (126

ated Current( 耐電流 ): >3 A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 2129 (85) 2.± ± /-.2.2~ (126 ated Current( 耐電流 ): >3 A( 安培 ) INTODUCTION 產品介紹 Multilayer high current chip beads are SMD components that possess a ultra-low DC resistance. Their impedance mainly comprises resistive part. Therefore,

More information

第 2 頁 (a) 擔 任 機 場 擴 建 統 籌 辦 總 監 的 首 席 政 府 工 程 師 職 位 第 3 點 ) ; (b) 擔 任 ( 機 場 擴 建 統 籌 辦 ) 的 首 長 級 丙 級 政 務 官 職 位 ; 以 及 (c) 擔 任 總 助 理 ( 機 場 擴 建 統 籌 辦 ) 的

第 2 頁 (a) 擔 任 機 場 擴 建 統 籌 辦 總 監 的 首 席 政 府 工 程 師 職 位 第 3 點 ) ; (b) 擔 任 ( 機 場 擴 建 統 籌 辦 ) 的 首 長 級 丙 級 政 務 官 職 位 ; 以 及 (c) 擔 任 總 助 理 ( 機 場 擴 建 統 籌 辦 ) 的 財 務 委 員 會 人 事 編 制 小 組 委 員 會 討 論 文 件 2015 年 11 月 4 日 總 目 158- 政 府 總 部 : 運 輸 及 房 屋 局 ( 運 輸 科 ) 分 目 000 運 作 開 支 請 各 委 員 向 財 務 委 員 會 提 出 下 述 建 議, 即 由 財 務 委 員 會 批 准 當 日 起, 在 運 輸 及 房 屋 局 運 輸 科 機 場 擴 建 工 程 統

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

(As at 28

(As at 28 内 地 与 香 港 关 于 建 立 更 紧 密 经 贸 关 系 的 安 排 ( 安 排 ) 常 见 问 答 个 别 行 业 : 法 律 服 务 法 律 服 务 ( 18) I 受 聘 于 内 地 律 师 事 务 所 1 II 律 师 事 务 所 联 营 组 织 2 III 香 港 律 师 事 务 所 驻 内 地 代 表 机 构 ( 代 表 处 ) 4 I V 最 低 居 留 条 件 6 V 律 师

More information

cgn

cgn 3654 ( 571 ) 88(4) 2014 3 31 10766 10778 2014 3 31 ( ) 2 21 ( ) 2014 3 31 10768 10778 6 9 1. ( ) 2. 3. 4. 5. 2014 6 3 ( ) 10768 10778 ( ) 2014 3 31 ( 622 ) 11 80 2014 3 31 2014 6 3 10 8 2014 3 31 ( ) 2014

More information

39898.indb

39898.indb 1988 4 1998 12 1990 5 40 70.................................................. 40.............................................................. 70..............................................................

More information

; 3/2, Buck-Boost, 3 Buck-Boost DC-DC ; Y, Fig. 1 1 BBMC The topology of three phase-three phase BBMC 3 BBMC (Study on the control strategy of

; 3/2, Buck-Boost, 3 Buck-Boost DC-DC ; Y, Fig. 1 1 BBMC The topology of three phase-three phase BBMC 3 BBMC (Study on the control strategy of 26 2 2009 2 Control Theory & Applications Vol. 26 No. 2 Feb. 2009 : 1000 8152(2009)02 0203 06 Buck-Boost 1,2, 3, 2, 4, 1 (1., 411201; 2., 410083; 3., 411105; 4., 410004) :, Buck-Boost,.,,. :,,,, : Buck-Boost

More information

穨ecr2_c.PDF

穨ecr2_c.PDF i ii iii iv v vi vii viii 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 1 26 27 2 28 29 30 31 32 33 34 35 36 37 38 39 40 3 4 41 42 43 5 44 45 46 6 47 48 49 50 51 52 1 53 2 54 55 3 56

More information

電腦相關罪行跨部門工作小組-報告書

電腦相關罪行跨部門工作小組-報告書 - ii - - iii - - iv - - v - - vi - - vii - - viii - (1) 2.1 (2) (3) 13.6 (4) 1.6 (5) 21 (6) (7) 210 (8) (9) (10) (11) ( ) ( 12) 20 60 16 (13) ( ) (

More information

i

i i ii iii iv v vi vii viii ===== 1 2 3 4 5 6 7 8 9 10 ==== 11 12 13 14 15 16 17 18 19 ==== ==== 20 .. ===== ===== ===== ===== ===== ======.. 21 22 ===== ===== ===== ===== 23 24 25 26 27 28 29 ==== ====

More information

发展党员工作手册

发展党员工作手册 发 展 党 员 工 作 问 答 目 录 一 总 论...9 1. 发 展 党 员 工 作 的 方 针 是 什 么? 如 何 正 确 理 解 这 个 方 针?... 9 2. 为 什 么 强 调 发 展 党 员 必 须 保 证 质 量?... 9 3. 如 何 做 到 慎 重 发 展?... 10 4. 如 何 处 理 好 发 展 党 员 工 作 中 的 重 点 与 一 般 的 关 系?...11 5.

More information

i

i 9 1 2 3 4 i 5 6 ii iii iv v vi vii viii 1 1 1 2 3 4 2 5 6 2 3 2.10 ( 2.11 ) ( 2.11 ) ( 2.9 ) 7 8 9 3 10 5% 2% 4 11 93% (2001 02 2003 04 ) ( ) 2,490 (100%) 5 12 25% (2.57% 25%) 6 (2001 02 2003 04 ) 13 100%

More information

中華民國 第49屆中小學科學展覽會

中華民國 第49屆中小學科學展覽會 中華民國第 49 屆中小學科學展覽會 作品說明書 高中組生活與應用科學科 040814 太陽能光控節能窗簾 學校名稱 : 基隆市私立二信高級中學 作者 : 指導老師 : 高二許栢豪 王永富 高二林宸漢 高二謝誌倫 高二許硯鈞 關鍵詞 : 太陽能 光控電路 窗簾 CO2 1 6 1900 1 3 84 580 CO2 1-1 2003 CO2 4.57 CO2 1.43 1-2 1-1 CO2 1-2

More information

中医疗法(上).doc

中医疗法(上).doc ( 20 010010) 787 1092 1/32 498.50 4 980 2004 9 1 2004 9 1 1 1 000 ISBN 7-204-05940-9/R 019 1880.00 ( 20.00 ) ...1...1...1...2...4...5...7...13...15...17...18...19...21...23...24...24...26...26...27 I ...28...29...30...30...31...32...33...34...35...36...37...39...40...41...42...43...43...45...46...47...47...48

More information

香 港 舞 蹈 總 會    北 京 舞 蹈 學 院

香 港 舞 蹈 總 會    北 京 舞 蹈 學 院 報 名 規 則 : I. 保 送 教 師 資 格 : 香 港 舞 蹈 總 會 主 辦 二 零 一 六 年 秋 季 趣 學 堂 幼 兒 舞 蹈 課 程 評 核 報 名 及 規 則 ( 請 於 報 名 前 詳 細 閱 讀 整 份 文 件 ) 學 生 必 須 由 認 可 教 師 保 送 參 加 評 核, 而 以 下 為 認 可 教 師 的 資 格 : i. 持 有 由 香 港 舞 蹈 總 會 頒 發 之

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

Microsoft Word - EDB Panel Paper 2016 (Chi)_finalr

Microsoft Word - EDB Panel Paper 2016 (Chi)_finalr 2016 年 1 月 15 日 會 議 討 論 文 件 立 法 會 教 育 事 務 委 員 會 2016 年 施 政 報 告 教 育 局 的 政 策 措 施 行 政 長 官 在 2016 年 1 月 13 日 發 表 其 2016 年 施 政 報 告 本 文 件 旨 在 闡 述 施 政 報 告 中 有 關 教 育 事 務 的 主 要 措 施 2. 培 育 人 才 始 於 教 育 在 教 育 政 策

More information

國立台灣科技大學電子工程系 實務專題 總報告 低電壓低溫度低製程變異之電流源設計 姓名 : 學號 : 蔡政勳 B 指導老師 : 陳伯奇 1

國立台灣科技大學電子工程系 實務專題 總報告 低電壓低溫度低製程變異之電流源設計 姓名 : 學號 : 蔡政勳 B 指導老師 : 陳伯奇 1 國立台灣科技大學電子工程系 實務專題 總報告 低電壓低溫度低製程變異之電流源設計 姓名 : 學號 : 蔡政勳 B9802112 指導老師 : 陳伯奇 1 一 摘要 : 現今社會中, 科技的蓬勃發展, 改善了許多人的生活習慣以及品質, 這些的改變都與晶片的發展有極大的關聯, 而現在的晶片又以小面積 低功耗為主要趨勢, 故本專題將以這個方向為目標, 並熟悉 IC 設計的流程, 包含了文章選讀 電路分析及設計

More information

Microsoft PowerPoint - STU_EC_Ch07.ppt

Microsoft PowerPoint - STU_EC_Ch07.ppt 樹德科技大學資訊工程系 Chapter 7: Flip-Flops and Related Devices Shi-Huang Chen Fall 2010 1 Outline Latches Edge-Triggered Flip-Flops Master-Slave Flip-Flops Flip-Flop Operating Characteristics Flip-Flop Applications

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費 負 擔 金 額 表 ( 四 )- 職

說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費 負 擔 金 額 表 ( 四 )- 職 第 二 三 類 投 保 單 位 二 代 健 保 實 務 說 明 會 行 政 院 衛 生 署 全 民 健 康 保 險 局 南 區 業 務 組 說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費

More information

untitled

untitled A. 583 40 44 (i) (ii) 59 54 500,000 500,000 (i)18 (ii) (iii)(iv) (v) (vi) (vii) 200,000 509 55 200,000 200,000 200,000 500,000 282 24 40 40(1B) 56 2 40(1B) 40(1) 6 347 57 43C (a) (b) 60 14 5 57 43F 43C

More information

w w w.chromaate.com Chroma H-S I-V (MPPT) /61500/ Chroma I-V

w w w.chromaate.com Chroma H-S I-V (MPPT) /61500/ Chroma I-V Power Electronics Testings www.chromaate.com Turnkey Test & Automation Solution Provider w w w.chromaate.com Chroma 1. 62000H-S I-V (MPPT) 2. 66200 3. 6500/61500/61800 61800 4. 63800 4 5 9 3 Chroma I-V

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

注意:考試開始鈴(鐘、鼓)響或燈亮前,不可以翻閱試題本

注意:考試開始鈴(鐘、鼓)響或燈亮前,不可以翻閱試題本 注意 : 考試開始鈴響或綠燈亮前, 不可以翻閱試題本 107 學年度身心障礙學生升學大專校院甄試試題本 甄試類 ( 群 ) 組別 : 四技二專組 電機與電子群資電類 考試科目 ( 編號 ): 專業科目 ( 二 ) 數位邏輯 數位邏輯實習 電子學實習 計算機概論 (C2213) 作答注意事項 1. 考試時間 :90 分鐘 2. 請在答案卷上作答, 答案卷每人一張, 不得要求增補 3. 請核對報考甄試類

More information

厨房小知识(四)

厨房小知识(四) I...1...2...3...4...4...5...6...6...7...9...10... 11...12...12...13...14...15...16...17...18...18...19...22...22 II...23...24...25...26...27...27...28...29...29...30...31...31?...32...32...33?...33...34...34...35...36...36...37...37...38...38...40

More information

妇女更年期保健.doc

妇女更年期保健.doc ...1...2...3...5...6...7 40...8... 11...13...14...16...17...19...20...21...26...29...30...32 I ...34...35...37...41...46...50...51...52...53...54...55...58...64...65 X...67...68...70...70...74...76...78...79

More information

小儿传染病防治(上)

小儿传染病防治(上) ...1...2...3...5...7...7...9... 11...13...14...15...16...32...34...34...36...37...39 I ...39...40...41...42...43...48...50...54...56...57...59...59...60...61...63...65...66...66...68...68...70...70 II

More information

<4D6963726F736F667420576F7264202D2031303430333234B875B9B5A448ADFBBADEB27AA740B77EA4E2A5555FA95EAED6A641ADD75F2E646F63>

<4D6963726F736F667420576F7264202D2031303430333234B875B9B5A448ADFBBADEB27AA740B77EA4E2A5555FA95EAED6A641ADD75F2E646F63> 聘 僱 人 員 管 理 作 業 參 考 手 冊 行 政 院 人 事 行 政 總 處 編 印 中 華 民 國 104 年 3 月 序 人 事 是 政 通 人 和 的 關 鍵 是 百 事 俱 興 的 基 礎, 也 是 追 求 卓 越 的 張 本 唯 有 人 事 健 全, 業 務 才 能 順 利 推 動, 政 府 施 政 自 然 績 效 斐 然 本 總 處 做 為 行 政 院 人 事 政 策 幕 僚 機

More information

女性青春期保健(下).doc

女性青春期保健(下).doc ...1...4...10... 11...13...14...15...17...18...19...20...21...22...23...24...26...27...30...31 I ...32...33...36...37...38...40...41...43...44...45...46...47...50...51...51...53...54...55...56...58...59

More information

避孕知识(下).doc

避孕知识(下).doc ...1...3...6...13...13...14...15...16...17...17...18...19...19...20...20...23...24...24...25 I ...25...26...26...27...28...28...29...30...30...31...32...34...35 11...36...37...38...40...42...43...44...44...46

More information

孕妇饮食调养(下).doc

孕妇饮食调养(下).doc ...1...2...5...9 7...9...14...15...16...18...22...23...24...25...27...29...31...32...34 I ...35...36...37...39...40...40...42...44...46...48...51...52...53...53...54...55...56...56...58...61...64 II ...65...66...67...68...69...70...71...72...73...74...75...76...77...80...83...85...87...88

More information

禽畜饲料配制技术(一).doc

禽畜饲料配制技术(一).doc ( ) ...1...1...4...5...6...7...8...9...10... 11...13...14...17...18...21...23...24...26 I ...28 70...30...33...35...36...37...39...40...41...49...50...52...53...54...56...58...59...60...67...68...70...71

More information

中老年保健必读(十一).doc

中老年保健必读(十一).doc ...1...2...4...6...8...9...10...12...14...15...17...18...20...22...23...25...27...29 I ...30...32...35...38...40...42...43...45...46...48...52...55...56...59...62...63...66...67...69...71...74 II ...76...78...79...81...84...86...87...88...89...90...91...93...96...99...

More information

i

i i ii iii iv v vi 1 2 3 4 5 (b) (a) (b) (c) = 100% (a) 6 7 (b) (a) (b) (c) = 100% (a) 2 456 329 13% 12 120 7.1 0.06% 8 9 10 11 12 13 14 15 16 17 18 19 20 (a) (b) (c) 21 22 23 24 25 26 27 28 29 30 31 =

More information

怎样使孩子更加聪明健康(七).doc

怎样使孩子更加聪明健康(七).doc ...1...2...2...4...5 7 8...6...7...9 1 3... 11...12...14...15...16...17...18...19...20...21...22 I II...23...24...26 1 3...27...29...31...31...33...33...35...35...37...39...41...43...44...45 3 4...47...48...49...51...52

More information

i

i i ii iii iv v vi 1 g j 2 3 4 ==== ==== ==== 5 ==== ======= 6 ==== ======= 7 ==== ==== ==== 8 [(d) = (a) (b)] [(e) = (c) (b)] 9 ===== ===== ===== ===== ===== ===== 10 11 12 13 14 15 16 17 ===== [ ] 18 19

More information

Resistors - All Resistors - Chip Resistors

Resistors - All Resistors - Chip Resistors THICK FILM CHIP RESISTORS 特性 (Features) 輕薄短小 : 小型輕量, 大幅縮小 PC 板面積及重量 Small size and ligtweight with size range per int'l standard 裝配性佳 : 外觀均勻精確, 易於裝配 Highly stable in auto-placement surface mounting application

More information

二零零六年一月二十三日會議

二零零六年一月二十三日會議 附 件 B 有 关 政 策 局 推 行 或 正 在 策 划 的 纾 缓 及 预 防 贫 穷 措 施 下 文 载 述 有 关 政 策 局 / 部 门 为 加 强 纾 缓 及 预 防 贫 穷 的 工 作, 以 及 为 配 合 委 员 会 工 作, 在 过 去 十 一 个 月 公 布 及 正 在 策 划 的 新 政 策 和 措 施 生 福 利 及 食 物 局 (i) 综 合 儿 童 发 展 服 务 2.

More information

马太亨利完整圣经注释—雅歌

马太亨利完整圣经注释—雅歌 第 1 页 目 录 雅 歌 简 介... 2 雅 歌 第 一 章... 2 雅 歌 第 二 章... 10 雅 歌 第 三 章... 16 雅 歌 第 四 章... 20 雅 歌 第 五 章... 25 雅 歌 第 六 章... 32 雅 歌 第 七 章... 36 雅 歌 第 八 章... 39 第 2 页 雅 歌 简 介 我 们 坚 信 圣 经 都 是 神 所 默 示 的 ( 提 摩 太 后 书

More information

AC DC / AC-AC DC-DC AC-DC DC-AC AC-AC DC-DC AC AC Transformer AC DC AC AC DC? AC DC DC Voltage V Volt V V Q JV = J / Q Current I Ampere A AsQA = Q / s

AC DC / AC-AC DC-DC AC-DC DC-AC AC-AC DC-DC AC AC Transformer AC DC AC AC DC? AC DC DC Voltage V Volt V V Q JV = J / Q Current I Ampere A AsQA = Q / s What's fun in EE 臺大電機系科普系列 如何掌握看不見的電 直流電源轉換的方法 陳耀銘 國立臺灣大學電機工程學系副教授 楔子 電能 是各式各樣的能量形式中 最容易被傳輸與轉換 也最容易被人類所 使用的一種能量來源 生活周遭很多物品都是以電能作為其能量的來源 所以 我 們常聽到 這個東西 要插電 或是 噯呷電 台語 甚至 也常常用 電 來 形容一個人的精神狀態 如 這位小朋友已經玩到沒電了

More information

VH中文硬體說明書 A4-cd9新版.cdr

VH中文硬體說明書 A4-cd9新版.cdr VB / VH 系 列 PLC DC 連 接 器 機 型 周 邊 產 品 說 明 VB-TM 點 MOSFET 轉接模組 MOSFET數目 輸出型式 輸出保護 IDC- V IDC- IDC- IDC- V V IDC- IDC- IDC- IDC- V IDC- IDC- IDC- IDC- V 返馳二極體 IDC P 連接器 個 歐規端子台 mm ( W) 8mm( H) mm( D) mm DIN軌道

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

509 (ii) (iii) (iv) (v) 200, , , , C 57

509 (ii) (iii) (iv) (v) 200, , , , C 57 59 (ii) (iii) (iv) (v) 500,000 500,000 59I 18 (ii) (iii) (iv) 200,000 56 509 (ii) (iii) (iv) (v) 200,000 200,000 200,000 500,000 57 43C 57 (ii) 60 90 14 5 50,000 43F 43C (ii) 282 24 40(1B) 24 40(1) 58

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

怎样使孩子更加聪明健康(五).doc

怎样使孩子更加聪明健康(五).doc ...1...8...13...19...22...27...35...37 0-1...43...47...50...54...58...62...64...66...71...76...78 I ...81...83...84...86...87...88...90...92...93...94...97...99... 102... 105... 109... 110...111 ABC...

More information

Microsoft Word - 741小論文0.doc

Microsoft Word - 741小論文0.doc 篇名 作者 李靖群 高雄縣中山工商 綜合高中 二年六班 壹 前言 物理量的感測在一般應用中, 經常使用各類感測器將位移 角度 壓力 與流量等物理量轉換為電流或電壓訊號, 之後再藉由量測此電壓電流訊號間接推算出物理量變化, 藉以達成感測 控制的目的 但有時感測器所輸出的電壓電流訊號可能非常微小, 以致訊號處理時難以察覺其間的變化, 故需要以放大器進行訊號放大以順利測得電流電壓訊號, 而放大器所能達成的工作不僅是放大訊號而已,

More information

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 圖形編輯設計法 圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 建立電路符號檔 1.執行 File Create/ Update Create Symbol Files for Current File 時 可將目前的半加法器建立一個電路符號 Halfadd.bsf 3 建立電路符號檔 2.利用 File Open 開啟Halfadd.bsf檔案時 便可查看所建 立的電路符號 Halfadd

More information

崑山科技大學電子工程系學生專題製作報告 數位轉類比電路設計與佈局實現 Design and Implementation of a 6-bit Binary-Weighted Digital to Analog Converter 指導教授 : 簡尊彝 專題組員 : 鮑建名 學號 :4960K020

崑山科技大學電子工程系學生專題製作報告 數位轉類比電路設計與佈局實現 Design and Implementation of a 6-bit Binary-Weighted Digital to Analog Converter 指導教授 : 簡尊彝 專題組員 : 鮑建名 學號 :4960K020 崑山科技大學電子工程系學生專題製作報告 數位轉類比電路設計與佈局實現 Design and Implementation of a 6-bit Binary-Weighted Digital to Analog Converter 指導教授 : 簡尊彝 專題組員 : 鮑建名 學號 :4960K020 葉明煜 學號 :4960K160 中華民國 100 年 06 月 I 崑山科技大學電子工程系學生專題製作報告

More information

尿路感染防治.doc

尿路感染防治.doc ...1...1...2...4...6...7...7...10...12...13...15...16...18...19...24...25...26...27...28 I II...29...30...31...32...33...34...36...37...37...38...40...40...41...43...44...46...47...48...48...49...52 III...55...56...56...57...58

More information

Microsoft Word - MP2018_Report_Chi _12Apr2012_.doc

Microsoft Word - MP2018_Report_Chi _12Apr2012_.doc 人 力 資 源 推 算 報 告 香 港 特 別 行 政 區 政 府 二 零 一 二 年 四 月 此 頁 刻 意 留 空 - 2 - 目 錄 頁 前 言 詞 彙 縮 寫 及 注 意 事 項 摘 要 第 一 章 : 第 二 章 : 第 三 章 : 第 四 章 : 附 件 一 : 附 件 二 : 附 件 三 : 附 件 四 : 附 件 五 : 附 件 六 : 附 件 七 : 引 言 及 技 術 大 綱 人

More information

南華大學數位論文

南華大學數位論文 1 i -------------------------------------------------- ii iii iv v vi vii 36~39 108 viii 15 108 ix 1 2 3 30 1 ~43 2 3 ~16 1 2 4 4 5 3 6 8 6 4 4 7 15 8 ----- 5 94 4 5 6 43 10 78 9 7 10 11 12 10 11 12 9137

More information

李天命的思考藝術

李天命的思考藝術 ii iii iv v vi vii viii ix x 3 1 2 3 4 4 5 6 7 8 9 5 10 1 2 11 6 12 13 7 8 14 15 16 17 18 9 19 20 21 22 10 23 24 23 11 25 26 7 27 28 12 13 29 30 31 28 32 14 33 34 35 36 5 15 3 1 2 3 4 5 6 7 8 9 10 11

More information

皮肤病防治.doc

皮肤病防治.doc ...1...1...2...3...4...5...6...7...7...9...10... 11...12...14...15...16...18...19...21 I ...22...22...24...25...26...27...27...29...30...31...32...33...34...34...36...36...37...38...40...41...41...42 II

More information

性病防治

性病防治 ...1...2...3...4...5...5...6...7...7...7...8...8...9...9...10...10... 11... 11 I ...12...12...12...13...14...14...15...17...20...20...21...22...23...23...25...27...33...34...34...35...35 II ...36...38...39...40...41...44...49...49...53...56...57...57...58...58...59...60...60...63...63...65...66

More information

中国南北特色风味名菜 _一)

中国南北特色风味名菜 _一) ...1...1...2...3...3...4...5...6...7...7...8...9... 10... 11... 13... 13... 14... 16... 17 I ... 18... 19... 20... 21... 22... 23... 24... 25... 27... 28... 29... 30... 32... 33... 34... 35... 36... 37...

More information

全唐诗24

全唐诗24 ... 1... 1... 2... 2... 3... 3... 4... 4... 5... 5... 6... 6... 7... 7... 8... 8... 9... 9...10...10...10...11...12...12...12...13...13 I II...14...14...14...15...15...15...16...16...16...17...17...18...18...18...19...19...19...20...20...20...21...21...22...22...23...23...23...24

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

心理障碍防治(下).doc

心理障碍防治(下).doc ( 20 010010) 787 1092 1/32 498.50 4 980 2004 9 1 2004 9 1 1 1 000 ISBN 7-204-05940-9/R 019 1880.00 ( 20.00 ) ...1...2...2...3...4...5...6...7...8...9...10... 11...12...13...15...16...17...19...21 I ...23...24...26...27...28...30...32...34...37...39...40...42...42...44...47...50...52...56...58...60...64...68

More information

Microsoft Word - Paper on PA (Chi)_2016.01.19.docx

Microsoft Word - Paper on PA (Chi)_2016.01.19.docx 立 法 會 發 展 事 務 委 員 會 二 零 一 六 年 施 政 報 告 及 施 政 綱 領 有 關 發 展 局 的 措 施 引 言 行 政 長 官 在 二 零 一 六 年 一 月 十 三 日 發 表 題 為 創 新 經 濟 改 善 民 生 促 進 和 諧 繁 榮 共 享 的 二 零 一 六 年 施 政 報 告 施 政 報 告 夾 附 施 政 綱 領, 臚 列 政 府 推 行 的 新 措 施 和

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

國立台灣科技大學電子工程系 102 學年度第二學期實務專題 進度報告 高速儀表類比前端電路設計 組別 :102B19 組員 : 姓名 : 鄧逸祥學號 :B 指導老師 : 鍾勇輝 中華民國 103 年 6 月 11 日 題目 : 高速儀表類比前端電路設計

國立台灣科技大學電子工程系 102 學年度第二學期實務專題 進度報告 高速儀表類比前端電路設計 組別 :102B19 組員 : 姓名 : 鄧逸祥學號 :B 指導老師 : 鍾勇輝 中華民國 103 年 6 月 11 日 題目 : 高速儀表類比前端電路設計 國立台灣科技大學電子工程系 102 學年度第二學期實務專題 進度報告 高速儀表類比前端電路設計 組別 :102B19 組員 : 姓名 : 鄧逸祥學號 :B10002006 指導老師 : 鍾勇輝 中華民國 103 年 6 月 11 日 題目 : 高速儀表類比前端電路設計 組員姓名及學號 : B10002006 鄧逸祥 組 別 : 102B19 指導教授 : 鍾勇輝 壹 摘要 : 現今電子產品時脈越來越高,

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

untitled

untitled 1....... 1 2... 3 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7.5V.. 2-8. 3 4 4 4 5 5 6 6 3... 7 4..... 9 4-1. 4-2. 5.... 15 5-1.... 5-2.(Current Limit).. 5-3./(Constant Voltage/ Constant Current).. 5-4.... 15 16

More information

509 200,000 200,000 6 (i) (ii) 200,000 500,000 12 282 51

509 200,000 200,000 6 (i) (ii) 200,000 500,000 12 282 51 59 500,000 500,000 6BA(5) 50,000 59I (i) 18 (ii) (iii) (iv) (v) (vi) (vii) 200,000 12 50 509 200,000 200,000 6 (i) (ii) 200,000 500,000 12 282 51 15 14 7 2 7 14 7 14 24 40 2 6 100,000 6 100,000 57 43C

More information

家庭用药指南(九).doc

家庭用药指南(九).doc ...1...2...3...5...6...7...8...9... 11...12...14...15...16...18...19...21...22...23...24 I ...26...28...29...33...35...37...38...40...41...42...44...44...46...47...48...49 10...52...55...56...57...59...60

More information

榫 卯 是 什 麼? 何 時 開 始 應 用 於 建 築 中? 38 中 國 傳 統 建 築 的 屋 頂 有 哪 幾 種 形 式? 40 大 內 高 手 的 大 內 指 什 麼? 42 街 坊 四 鄰 的 坊 和 街 分 別 指 什 麼? 44 北 京 四 合 院 的 典 型 格 局 是 怎 樣 的

榫 卯 是 什 麼? 何 時 開 始 應 用 於 建 築 中? 38 中 國 傳 統 建 築 的 屋 頂 有 哪 幾 種 形 式? 40 大 內 高 手 的 大 內 指 什 麼? 42 街 坊 四 鄰 的 坊 和 街 分 別 指 什 麼? 44 北 京 四 合 院 的 典 型 格 局 是 怎 樣 的 目 錄 中 華 醫 藥 以 醫 術 救 人 為 何 被 稱 為 懸 壺 濟 世? 2 什 麼 樣 的 醫 生 才 能 被 稱 為 華 佗 再 世? 4 中 醫 如 何 從 臉 色 看 人 的 特 質? 6 中 醫 怎 樣 從 五 官 看 病? 8 中 醫 看 舌 頭 能 看 出 些 什 麼 來? 10 中 醫 真 的 能 靠 一 個 枕 頭, 三 根 指 頭 診 病 嗎? 12 切 脈 能 判 斷

More information