UBOOT 的编译命令直接一次性编译 make O=am335x CROSS_COMPILE=arm-arago-linux-gnueabi ARCH=arm am335x_evm 配置 make ARCH=arm CROSS_COMPILE=arm-arago-linux-gnueabi- am3

Size: px
Start display at page:

Download "UBOOT 的编译命令直接一次性编译 make O=am335x CROSS_COMPILE=arm-arago-linux-gnueabi ARCH=arm am335x_evm 配置 make ARCH=arm CROSS_COMPILE=arm-arago-linux-gnueabi- am3"

Transcription

1 UBOOT 的编译命令直接一次性编译 make O=am335x CROSS_COMPILE=arm-arago-linux-gnueabi ARCH=arm am335x_evm 配置 make ARCH=arm CROSS_COMPILE=arm-arago-linux-gnueabi- am335x_evm_config 编译 make ARCH=arm CROSS_COMPILE=arm-arago-linux-gnueabi- 清理 make clean ARCH=arm CROSS_COMPILE=arm-arago-linux-gnueabimake distclean ARCH=arm CROSS_COMPILE=arm-arago-linux-gnueabi- 编译器环境变量的设置 这个环境变量是 TI 的 SDK 包里面带的编译器, 不是之前的 arm-gccexport PATH=$PATH:/mnt/disk1/ti-sdk-am335x-evm /linux-devkit/bin/ UBOOT 里面的 MLO(u-boot-spl) 如果使用 NAND 启动, 那么这个文件就是相当于 NBOOT, 进行第一次的引导这个 MLO 实际上就是 u-boot-spl.bin 生成的, 在编译完 uboot 后,SPL 的目录里面会产生了许多的.o 文件, 这里文件就是 uboot 的文件, 可以打开 Makefile, 有一些对应的宏定义, 可以取消, 减少 MLO 文件的大小 UBOOT 的链接脚本 lds UBOOT\arch\arm\cpu\armv7\u-boot.lds 正常运行 UBOOT 的 lds UBOOT\arch\arm\cpu\armv7\omap-common\u-boot.lds 这个是 nboot, 加载 uboot 用有 2 个 lds, 不同的作用, 注意要区别开 增加新的单板支持在 boards.cfg 文件中, 找到加入, 例如单板名字 arm armv7 对应 board 的目录 ti ti81xx 以后就可以执行 make 单板名字 来生成 uboot, 这里被 ti 改写了, 所以不是原版的 uboot 生成方法 一些代码的定位 u-boot psp /arch/arm/cpu/armv7 这个目录下的几个文件,start.s 这个是程序的入口执行文件 u-boot psp /arch/arm/cpu/armv7/omap-common u-boot psp /arch/arm/cpu/armv7/ti81xx 这 2 个目录是和平台板子相关,AM335X 是 ti81xx 的版本以上都是和 CPU 有关 u-boot psp /arch/lib

2 ARM 平台的公用代码 u-boot psp /lib 通用的库代码, 无论什么平台都编译 board/ti/xxx 这个目录就是单板的配置

3 Makefile 文件分析 在终端中输入后 make ARCH=arm CROSS_COMPILE=arm-arago-linux-gnueabi- am335x_evm_config 命令后, 会生成 3 个文件 1.boards.depend 2 include/config.h /* Automatically generated - do not edit */ #define CONFIG_BOARDDIR board/ti/am335x #include <config_cmd_defaults.h> #include <config_defaults.h> #include <configs/am335x_evm.h> #include <asm/config.h> 3 include/config.mk ARCH = arm CPU = armv7 BOARD = am335x VENDOR = ti SOC = ti81xx 终端中会输出 awk '(NF && $1!~ /^#/) { print $1 ": " $1 "_config; $(MAKE)" }' boards.cfg >.boards.depend Configuring for am335x_evm board... 支持的配置 am335x 配置有 am335x_evm am335x_evm_restore_flash am335x_evm_spiboot 解析 Makefile 文件, sinclude $(obj).boards.depend $(obj).boards.depend: boards.cfg awk '(NF && $$1!~ /^#/) { print $$1 ": " $$1 "_config; $$(MAKE)" }' $< > $@ 我们搜索 am335x_evm 并没有在 makefile 文件中找到对应的关键字, 但是发现 boards.cfg 中有此关键词, 可能 am335x_evm_config 输入后, 是到 boards.cfg 中寻找的

4 通过 TI CCS 调试 uboot 通过 makefile 文件建立 ccs 工程 1 打开 CCS, 选择 File->New->Project 2 打开新建窗口后, 选择 c/c++ 下的 Makefile Project with Existing Code 3 点击下一步, 选择 uboot 的存放的目录, 然后点击完成 4 等待右下角的进度, 一直到达 100% 后, 在继续操作 5 最后在属性设置里, 取消 C 的自动编译调试的时候, 如果目标代码是汇编, 则不会现实源码, 只有 C 才会现实出 uboot 的代码运行流程 u-boot psp /arch/arm/cpu/armv7 start.s 入口运行文件 bl save_boot_params 跳转到 lowlevel_init.s 该文件在 (arch\arm\cpu\armv7\omap-common), 如果是 MLO 则会定义 CONFIG_SPL_BUILD 宏,uboot 没有定义, 保存 CPU ROM 的参数到一个地方中 bl cpu_init_crit SPL 里面调用, 初始化底层相关的 ( 函数就在本文件中最下面 ) bl lowlevel_init 保存旧的堆栈指针, 设置新的堆栈指针 在 lowlevel_init.s (arch\arm\cpu\armv7\omap-common) 文件中 初始化堆栈指针 bl s_init 此函数在 Evm.c (board\ti\am335xwecon) 文件中, 不同的平台初始化不同的内容 跳转到 C 语言,board_init_f,C 语言从这个函数开始执行 board_init_f 在 Board.c (arch\arm\lib) 文件中 init_sequence 数组, 继续进行初始化 relocate_code 汇编函数, 重新回到 start.s 文件中 relocate_code: 在 start.s 文件中 主要实现了 uboot 的重新定位代码, 和 bss 段的清零 ldr r0, _board_init_r_ofs 通过这个方式获取 board_init_r 函数入口 adr r1, _start add lr, r0, r1 mov pc, lr 最后这里个到 C 函数,board_init_r 里面 board_init_r 第 2 阶段的初始化, 在 board.c 文件中 enable_caches 这个函数没有做什么内容 board_init 第 2 次初始化平台, 这里可以初始化其他内容了, 和平台相关 mem_malloc_init 初始化 malloc 内存 nand_init 初始化 NAND(CONFIG_CMD_NAND 需要定义 ) mmc_initialize 初始化 SD(CONFIG_GENERIC_MMC 需要定义 )

5 文件中 文件中 文件中 /drivers/mmc/mmc.c board_mmc_init 平台相关 omap_mmc_init(id)/drivers/mmc/omap_hsmmc.c env_relocate 初始化环境变量 /common/env_common.c stdio_init jumptable_init console_init_r misc_init_r interrupt_init enable_interrupts eth_initialize(gd->bd); 平台相关, 目前不知道什么用 初始化以太网 /net/eth.c 文件中 board_eth_init 平台相关的代码中 main_loop(); cpsw_register 进入控制台 /driver/net/cpsw.c 注册一个以太网设备 init_sequence 数组里的内容 #if defined(config_arch_cpu_init) arch_cpu_init, /* basic arch cpu dependent setup */ #if defined(config_board_early_init_f) board_early_init_f, timer_init, Timer.c (arch\arm\cpu\armv7\omap-common) 默认的定时器, 刚开始运行 uboot 时, 那个倒计时多少时间后按空格进入 uboot #ifdef CONFIG_FSL_ESDHC get_clocks, env_init, Env_nand.c (common) uboot 默认的参数, 都在这里初始化 init_baudrate, 本文件中, 初始化 uboot 使用的默认的串口波特率 serial_init, Serial.c (drivers\serial) 初始化串口, 在 UBOOT 中, 使用的默认串口名 字是叫 NS16550, 可能是因为原来就存在此代码的缘故, 然后继续调用了 ns16550.c 里面的函数初 始化串口 console_init_f, Console.c (common) 初始化控制台 display_banner, 本文件中, 输出一些信息, 代表运行到了这里 #if defined(config_display_cpuinfo)

6 print_cpuinfo, /* display cpu info (and speed) */ #if defined(config_display_boardinfo) checkboard, /* display board info */ #if defined(config_hard_i2c) defined(config_soft_i2c) init_func_i2c, 本文件中, 初始化 IIC, 然后继续调用 Omap24xx_i2c.c (drivers\i2c), 具体的 CPU IIC 初始化的实现 dram_init, /* configure available RAM banks */ UBOOT 用到的结构体 register volatile gd_t *gd asm ("r8") 此结构体记录了 UBOOT 所有的参数内容 typedef struct global_data { bd_t *bd; 这个还是一个结构体 unsigned long flags; unsigned long baudrate; UBOOT 串口终端所使用的串口波特率 unsigned long have_console; 串口控制台是否初始化过? =1 初始化过 //UBOOT 环境变量相关的变量 unsigned long env_addr; 这个是地址, 存放了 uboot 使用的环境变量参数存放在内 存中对应的地址, 例如记录了 uboot 的 ip 地址, 进入 uboot 默认的延时时间等, 和 default_environment 对应起来 unsigned long env_valid; 默认的环境变量是否有效, =1 代表有效 unsigned long fb_base; /* base address of frame buffer */ #ifdef CONFIG_FSL_ESDHC unsigned long sdhc_clk; #ifdef CONFIG_AT91FAMILY /* "static data" needed by at91's clock.c */ unsigned long cpu_clk_rate_hz; unsigned long main_clk_rate_hz; unsigned long mck_rate_hz; unsigned long plla_rate_hz; unsigned long pllb_rate_hz; unsigned long at91_pllb_usb_init; #ifdef CONFIG_ARM

7 /* "static data" needed by most of timer.c on ARM platforms */ unsigned long timer_rate_hz; unsigned long tbl; unsigned long tbu; unsigned long long timer_reset_value; unsigned long lastinc; #ifdef CONFIG_IXP425 unsigned long timestamp; unsigned long relocaddr; /* Start address of U-Boot in RAM */ phys_size_t ram_size; 内存的大小 unsigned long mon_len; uboot 整个 bin 文件的大小代码段 +bss 段 unsigned long irq_sp; IRQ 中断堆栈指针 unsigned long start_addr_sp; /* start_addr_stackpointer */ unsigned long reloc_off; #if!(defined(config_sys_icache_off) && defined(config_sys_dcache_off)) } gd_t; unsigned long tlb_addr; void **jt; /* jump table */ char env_buf[32]; /* buffer for getenv() before reloc. */ typedef struct bd_info { int bi_baudrate; 串口控制台波特率 unsigned long bi_ip_addr; IP 地址 ulong bi_arch_number; 传递给 LINUX 内核, 告诉当前是板子的 ID ulong bi_boot_params; 传递给 linux 内核, 告诉其参数存放的位置 struct /* RAM configuration */ { ulong start; ulong size; }bi_dram[config_nr_dram_banks]; 这个用于给 LINUX 传递启动信息的, 内存大小和起始 地址, 如果有多块内存, 则这个变量是一个数组 } bd_t; SPL 的代码 /arch/arm/cpu/armv7/start.s 入口 /arch/arm/cpu/armv7/omap-common C 文件,

8 Uboot 的重定位 relocate_code: 要有 pie 选项 (arm-linux-ld 命令中 ) mov r4, r0 /* save addr_sp */ 新的堆栈地址 mov r5, r1 /* save addr of gd */ gd_t 变量的内容地址, 因为重定位后, 这个地址会 改变 mov r6, r2 /* save addr of destination */ 目标地址 /* Set up the stack */ stack_setup: mov sp, r4 设置堆栈 adr r0, _start uboot 的起始运行地址 cmp r0, r6 moveq r9, #0 /* no relocation. relocation offset(r9) = 0 */ 如果代码段已经是目标了, 那么不要复制了直接跳转到 clear_bss beq clear_bss /* skip relocation */ mov r1, r6 /* r1 <- scratch for copy_loop */ 代码段的目标地址 ldr r3, _image_copy_end_ofs 要复制的长度 add r2, r0, r3 /* r2 <- source end address */ 代码段的结束地址 开始循环复制代码段 copy_loop: ldmia r0!, {r9-r10} /* copy from source address [r0] */ stmia r1!, {r9-r10} /* copy to target address [r1] */ cmp r0, r2 /* until source end address [r2] */ blo copy_loop 重定位代码, 修改代码为新的地址 #ifndef CONFIG_SPL_BUILD R0 是目标内存, 修改后放入目标的内存中 ldr r0, _TEXT_BASE /* r0 <- Text base */ 代码段的基地址 sub r9, r6, r0 /* r9 <- relocation offset */ 重定位的偏移值 r9= 代码段目标地址 代码段的基地址 ldr r10, _dynsym_start_ofs /* r10 <- sym table ofs */ r10= 动态起始地址 add r10, r10, r0 /* r10 <- sym table in FLASH */ r10 = 动态符号表的目标存放地址 r2 是 rel_dyn 段的内容, 这个段就是代表要修改的数据. 这个段都是放一个要修改的值, 然后放一个标记. 偏移值分为两种 : 相对位移和绝对位移 ldr r2, _rel_dyn_start_ofs /* r2 <- rel dyn start ofs */ 要修改地址的信息的一些变量都 放在这里 add r2, r2, r0 /* r2 <- rel dyn start in FLASH */

9 r3 是放结束的地址, 用来判断是否结束 ldr r3, _rel_dyn_end_ofs /* r3 <- rel dyn end ofs */ add r3, r3, r0 /* r3 <- rel dyn end in FLASH */ fixloop: 从 R2 取出要修改的值, ldr r0, [r2] /* r0 <- location to fix up, IN FLASH! */ add r0, r0, r9 /* r0 <- location to fix up in RAM */ 从 R2 的下一个位置读取一个值, 这个值是用来判断是运行 fixrel 还是 fixabs 用 ldr r1, [r2, #4] and r7, r1, #0xff 这里判断是相对位移还是绝对位移, 然后修改 cmp r7, #23 /* relative fixup? */ beq fixrel cmp r7, #2 /* absolute fixup? */ beq fixabs /* ignore unknown type of fixup */ b fixnext fixabs: 修改绝对位移, 就是运行前才可以确定的 /* absolute fix: set location to (offset) symbol value */ mov r1, r1, LSR #4 /* r1 <- symbol index in.dynsym */ add r1, r10, r1 /* r1 <- address of symbol in table */ ldr r1, [r1, #4] /* r1 <- symbol value */ add r1, r1, r9 /* r1 <- relocated sym addr */ b fixnext fixrel: 修改相对位移, 编译时就确定了地址 /* relative fix: increase location by offset */ ldr r1, [r0] add r1, r1, r9 fixnext: 写入本次修改的内容, 判断是否运行完成, str r1, [r0] 将 r1 写入目标内存 add r2, r2, #8 /* each rel.dyn entry is 8 bytes */ cmp r2, r3 判断是否结束, blo fixloop 没有结束, 继续循环 b clear_bss 结束了 /* #ifndef CONFIG_SPL_BUILD */

10 uboot 命令环境变量 printenv 打印当前的环境变量运行 go bootm 0x boot - boot default, i.e., run 'bootcmd' 根据 bootcmd 环境变量运行命令 bootd - boot default, i.e., run 'bootcmd' 根据 bootcmd 环境变量运行命令 nand flash 以太网 ping tftp

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco Windows RTEMS 1 Danilliu MMI TCP/IP 80486 QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos ecos Email www.rtems.com RTEMS ecos RTEMS RTEMS Windows

More information

华恒家庭网关方案

华恒家庭网关方案 LINUX V1.5 1 2 1 2 LINUX WINDOWS PC VC LINUX WINDOWS LINUX 90% GUI LINUX C 3 REDHAT 9 LINUX PC TFTP/NFS http://www.hhcn.com/chinese/embedlinux-res.html minicom NFS mount C HHARM9-EDU 1 LINUX HHARM9-EDU

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

Simulator By SunLingxi 2003

Simulator By SunLingxi 2003 Simulator By SunLingxi sunlingxi@sina.com 2003 windows 2000 Tornado ping ping 1. Tornado Full Simulator...3 2....3 3. ping...6 4. Tornado Simulator BSP...6 5. VxWorks simpc...7 6. simulator...7 7. simulator

More information

C/C++ - 文件IO

C/C++ - 文件IO C/C++ IO Table of contents 1. 2. 3. 4. 1 C ASCII ASCII ASCII 2 10000 00100111 00010000 31H, 30H, 30H, 30H, 30H 1, 0, 0, 0, 0 ASCII 3 4 5 UNIX ANSI C 5 FILE FILE 6 stdio.h typedef struct { int level ;

More information

則 此 圖 片 約 需 佔 用 多 少 儲 存 空 間? M B y t e s M B y t e s M B y t e s M B y t e s 9. ( 3 ) 在 M i c r o s o f t E x c e

則 此 圖 片 約 需 佔 用 多 少 儲 存 空 間? M B y t e s M B y t e s M B y t e s M B y t e s 9. ( 3 ) 在 M i c r o s o f t E x c e 104 年 度 11800 電 腦 軟 體 應 用 乙 級 技 術 士 技 能 檢 定 學 科 測 試 試 題 本 試 卷 有 選 擇 題 80 題 單 選 選 擇 題 60 題, 每 題 1 分 ; 複 選 選 擇 題 20 題, 每 題 2 分, 測 試 時 間 為 100 分 鐘, 請 在 答 案 卡 上 作 答, 答 錯 不 倒 扣 ; 未 作 答 者, 不 予 計 分 准 考 證 號 碼

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++;

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; Memory & Pointer trio@seu.edu.cn 2.1 2.1.1 1 int *p int a 0x00C7 0x00C7 0x00C7 2.1.2 2 int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; 2.1.3 1. 2. 3. 3 int A,

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

2-Tizen_v2

2-Tizen_v2 !1 42 Tizen v2.3 Bootup 内容!2 42 Bootloader(U-boot) Bootloader 概述 U-boot Systemd Systemd 概述 Platform Bootup Parsing Unit File !3 42 Bootloader(U-boot) Tizen bootup 概述!4 引导加载程序 将机器 id 和启动参数传递给内核 Power on

More information

BeagleBone Black emmc 烧写全记录 ( 基于 AM335x SDK06) emmc 存储介质目前越来越广泛的应用在嵌入式系统中,AM335x 的用户也越来越多的使用 EMMC 作为系统的主要存储介质 目前 AM335x 的几款官方 demo 板中, 只有 BeagleBone B

BeagleBone Black emmc 烧写全记录 ( 基于 AM335x SDK06) emmc 存储介质目前越来越广泛的应用在嵌入式系统中,AM335x 的用户也越来越多的使用 EMMC 作为系统的主要存储介质 目前 AM335x 的几款官方 demo 板中, 只有 BeagleBone B BeagleBone Black emmc 烧写全记录 ( 基于 AM335x SDK06) emmc 存储介质目前越来越广泛的应用在嵌入式系统中,AM335x 的用户也越来越多的使用 EMMC 作为系统的主要存储介质 目前 AM335x 的几款官方 demo 板中, 只有 BeagleBone Black 上加入了对 emmc 芯片的支持, 很多用户也是参考 BeagleBone Black 进行自己

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

ARP ICMP

ARP ICMP ARP ICMP 2 9-1 ARP 9-2 ARP 9-3 ARP 9-4 ICMP 9-5 ICMP 9-6 ICMP 9-7 ICMP 3 ARP ICMP TCP / IP, IP ARP ICMP 3 IP, ARP ICMP IP ARP ICMP 2, 4 9-1 ARP, MAC, IP IP, MAC ARP Address Resolution Protocol, OSI ARP,,

More information

uc/os 1

uc/os 1 uc/os 1 uc/os-ii Source Code ANSI C, uc/os-ii 8/16/32 bits microprocessor Preemptive real-time Task 64 Stack Size ROMable (C compiler, assembler and linker/locator) uc/os-ii Mailboxes, Queues, Semaphores,

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

s5pv210 uboot 移植 ( 一 ) 之分析 Alex Ling 的 linaro for mini210 好久好久前就买了 s5pv210 的开发板, 一直都是东搞搞西搞搞, 一点收获也没有, 这次下决心来移植最新的 uboot 到 u-boot-2012.

s5pv210 uboot 移植 ( 一 ) 之分析 Alex Ling 的 linaro for mini210 好久好久前就买了 s5pv210 的开发板, 一直都是东搞搞西搞搞, 一点收获也没有, 这次下决心来移植最新的 uboot 到 u-boot-2012. s5pv210 uboot-2012-10 移植 ( 一 ) 之分析 Alex Ling 的 linaro-2011.10 for mini210 好久好久前就买了 s5pv210 的开发板, 一直都是东搞搞西搞搞, 一点收获也没有, 这次下决心来移植最新的 uboot 到 u-boot-2012.10 上, 并通过这个博客记录下来以防时间长给忘了, 我的开发板是 QT210 的 s5pv210 的启动分为

More information

打开 debug 选项 ( 修改根目录下 config.mk 文件,DBGFLAGS=-g -DDEBUG), 重新编译 uboot 并下载到 K2E 上运行, 观察串口的输出, 如果时钟配置正确的话, 应能在串口看到类似打印, 则时钟配置正确, 准备进入下一步调试 DDR3 如果输出乱码, 则需要

打开 debug 选项 ( 修改根目录下 config.mk 文件,DBGFLAGS=-g -DDEBUG), 重新编译 uboot 并下载到 K2E 上运行, 观察串口的输出, 如果时钟配置正确的话, 应能在串口看到类似打印, 则时钟配置正确, 准备进入下一步调试 DDR3 如果输出乱码, 则需要 1. 下载 Linaro 交叉编译工具链以及 uboot 源代码, 建立编译环境, 然后根据使用的芯 片编译对应的版本, 以下内容以 K2E 为例 a. 参考 http://processors.wiki.ti.com/index.php/mcsdk_ug_chapter_exploring 3.2.2.2 节 b. uboot:git://git.ti.com/keystone-linux/u-boot.git

More information

新版 明解C言語入門編

新版 明解C言語入門編 328, 4, 110, 189, 103, 11... 318. 274 6 ; 10 ; 5? 48 & & 228! 61!= 42 ^= 66 _ 82 /= 66 /* 3 / 19 ~ 164 OR 53 OR 164 = 66 ( ) 115 ( ) 31 ^ OR 164 [] 89, 241 [] 324 + + 4, 19, 241 + + 22 ++ 67 ++ 73 += 66

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

处理用户输入的命令 这里只简要列出了主要执行的函数流程 : void start_armboot (void) // 全局数据变量指针 gd 占用 r8 DECLARE_GLOBAL_DATA_PTR; /* 给全局数据变量 gd 安排空间 */ gd = (gd_t*)(_armboot_star

处理用户输入的命令 这里只简要列出了主要执行的函数流程 : void start_armboot (void) // 全局数据变量指针 gd 占用 r8 DECLARE_GLOBAL_DATA_PTR; /* 给全局数据变量 gd 安排空间 */ gd = (gd_t*)(_armboot_star u-boot 学习指南 u-boot 是免费的, 我们做嵌入式的一般只需要使用 u-boot 即可, 但如果你想成为一个比较强的嵌入式系统工程师, 而且还做了自己开发板, 那么还是要学习一下如果将网上下载的通用 u-boot 移植到自己的开发板上, 这个过程主要是修改主芯片相关代码以及开发板硬件相关代码, 包括启动文件 Start.s NAND 读写程序 USB 通信程序 相应的 IO 口配置等开发板上的资源,

More information

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE Project Properties IDE makefile 1. Oracle Solaris Studio 12.2 IDE 2010 9 2 8 9 10 11 13 20 26 28 30 32 33 Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1. "File" > "New

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

untitled

untitled CPU!! 00-11-8 Liping zhang, Tsinghua 1 : ADD(r1, r, r) CMPLEC(r, 5, r0) MUL(r1, r, r) SUB(r1, r, r5) ADD r, ( ) r CMP. CMP r.. t t + 1 t + t + t + t + 5 t + 6 IF( ) ADD CMP MUL SUB RF NOP ADD CMP MUL SUB

More information

ch08.PDF

ch08.PDF 8-1 CCNA 8.1 CLI 8.1.1 8-2 8-3 8.1.21600 2500 1600 2500 / IOS 8-4 8.2 8.2.1 A 5 IP CLI 1600 2500 8-5 8.1.2-15 Windows 9598NT 2000 HyperTerminal Hilgraeve Microsoft Cisco HyperTerminal Private Edition (PE)

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

untitled

untitled Lwip Swedish Institute of Computer Science February 20, 2001 Adam Dunkels adam@sics.se (QQ: 10205001) (QQ: 329147) (QQ:3232253) (QQ:3232253) QQ ARM TCPIP LCD10988210 LWIP TCP/IP LWIP LWIP lwip API lwip

More information

新・解きながら学ぶC言語

新・解きながら学ぶC言語 330!... 67!=... 42 "... 215 " "... 6, 77, 222 #define... 114, 194 #include... 145 %... 21 %... 21 %%... 21 %f... 26 %ld... 162 %lf... 26 %lu... 162 %o... 180 %p... 248 %s... 223, 224 %u... 162 %x... 180

More information

HLA-B27軟體

HLA-B27軟體 HLA-B27 HLA-B27 CaliBRITE Beads FACSComp HLA-B27 Calibration Beads HLA-B27 HLA-B27 1. HLA-B27 1.1 HLA-B27 HLA Major Histocompatibity Complex MHC HLA HLA-A -B -C HLA HLA-D/DR -DP -DQ B HLA HLA HLA HLA-B27

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

ARM学习报告003——Bios源码分析.doc

ARM学习报告003——Bios源码分析.doc ARM 003 Hayden Luo BIOS duyunhai@hotmail.com www.seajia.com - 1 - www.seajia.com Hayden Luo Bios BootLoader BIOS ARM 003 2004-5-25 003 Bootloader uclinux ARM 51 51 ARM ARM ARM 001 002 003 ARM 001 http://bbs.edw.com.cn/dispbbs.asp?boardid=20&id=28310&page=1

More information

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile..

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile.. WebSphere Studio Application Developer IBM Portal Toolkit... 1/21 WebSphere Studio Application Developer IBM Portal Toolkit Portlet Doug Phillips (dougep@us.ibm.com),, IBM Developer Technical Support Center

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

c_cpp

c_cpp C C++ C C++ C++ (object oriented) C C++.cpp C C++ C C++ : for (int i=0;i

More information

标题

标题 文学蓝皮书 9 网络文学 趋向主流化 酝酿新格局 摘 要 2015 年的网络文学 在中央重视 政府主导 民间先 行 资本发力等诸多因素联手推动下 呈现出借势发 展和强势进取的良好势头 网络小说创作 在虚构类 的玄幻与仙侠 写实类的历史与都市 都有好的和比 较好的力作佳构联袂而来 主流体制组建网络文学机 构 IP 热 愈演愈烈 都从不同的侧面和层面推动网 络文学进而做大做强 使之成为当代文学中最具成长

More information

新・明解C言語入門編『索引』

新・明解C言語入門編『索引』 !... 75!=... 48 "... 234 " "... 9, 84, 240 #define... 118, 213 #include... 148 %... 23 %... 23, 24 %%... 23 %d... 4 %f... 29 %ld... 177 %lf... 31 %lu... 177 %o... 196 %p... 262 %s... 242, 244 %u... 177

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

ARM中C和汇编混合编程及示例.doc

ARM中C和汇编混合编程及示例.doc ARM 中 C 和汇编混合编程及示例 在嵌入式系统开发中, 目前使用的主要编程语言是 C 和汇编,C++ 已经有相应的编译器, 但是现在使用还是比较少的 在稍大规模的嵌入式软件中, 例如含有 OS, 大部分的代码都是用 C 编写的, 主要是因为 C 语言的结构比较好, 便于人的理解, 而且有大量的支持库 尽管如此, 很多地方还是要用到汇编语言, 例如开机时硬件系统的初始化, 包括 CPU 状态的设定,

More information

untitled

untitled 年度 路 IVI 劉 隆 年 597 598 IVI 錄... 601 行... 601... 601 1.... 601 2. 路... 602 3.... 603... 604 1.IPv4 to IPv6... 604 2.IPv6 to IPv4... 605 -... 606 ( )IVI Server... 606 ( )IVI Server... 610 ( )IVI DNS Server...

More information

epub

epub 3 Cisco 3.1 S e t u p C i s c o C i s c o Cisco IOS C i s c o 3.2 Te l n e t T F T P 3-1 3-1 configure terminal configure memory Configure network t e l n e t < C t r l - Z > conf t N V R A M T F T P I

More information

ESP-Jumpstart

ESP-Jumpstart 2016-2019 2019 08 08 Contents 1 3 1.1 ESP32.............................. 3 1.2.................................................. 5 2 7 2.1............................................. 7 2.2 ESP-IDF............................................

More information

1937 7 6 10 1937 7 12 1937 7 17 4 1937 7 15 1933 6 3 1939 10 12 1937 9 6 19 1871 1 1933 12 7 1934 1 19 1910 5 5 9 5 9 5 42 1932 5 25 9 32 9 32 1932 3 1934 3 38 2120 33 2132 11 17 1934 4 20 1935 2 21 1935

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

Abstract arm linux tool-chain root NET-Start! 2

Abstract arm linux tool-chain root NET-Start! 2 Lab III - Embedding Linux 1 Abstract arm linux tool-chain root NET-Start! 2 Part 1.4 Step1. tool-chain 4 Step2. PATH 4 Part 2 kernel 5 Step1. 5 Step2... 6 Step3...8 Part 3 root. 8 Step1. 8 Step2. 8 Part

More information

C/C++ - 数组与指针

C/C++ - 数组与指针 C/C++ Table of contents 1. 2. 3. 4. 5. 6. 7. 8. 1 float candy [ 365]; char code [12]; int states [50]; 2 int array [6] = {1, 2, 4, 6, 8, 10}; 3 // day_mon1.c: # include # define MONTHS 12 int

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

C/C++程序设计 - 字符串与格式化输入/输出

C/C++程序设计 - 字符串与格式化输入/输出 C/C++ / Table of contents 1. 2. 3. 4. 1 i # include # include // density of human body : 1. 04 e3 kg / m ^3 # define DENSITY 1. 04 e3 int main ( void ) { float weight, volume ; int

More information

新版 明解C++入門編

新版 明解C++入門編 511!... 43, 85!=... 42 "... 118 " "... 337 " "... 8, 290 #... 71 #... 413 #define... 128, 236, 413 #endif... 412 #ifndef... 412 #if... 412 #include... 6, 337 #undef... 413 %... 23, 27 %=... 97 &... 243,

More information

untitled

untitled 3 C++ 3.1 3.2 3.3 3.4 new delete 3.5 this 3.6 3.7 3.1 3.1 class struct union struct union C class C++ C++ 3.1 3.1 #include struct STRING { typedef char *CHARPTR; // CHARPTR s; // int strlen(

More information

嵌入式系统bootloader开发移植.ppt

嵌入式系统bootloader开发移植.ppt 嵌入式培训专家 嵌入式系统引导程序开发 www.farsight.com.cn Linux Market 今天的内容 v 体系结构开发与引导程序初始化 v 引导程序功能与内核加载 v 引导程序移植与体系结构 以上内容均以 arm 体系结构 u-boot 为例 嵌入式系统定义 v 嵌入式系统是以应用为中心, 以计算机技术为基础, 并且软硬件可裁剪, 适用于应用系统对功能 可靠性 成本 体积 功耗有严格要求的专用计算机系统

More information

Primer Express v3.0 中文操作手冊

Primer Express v3.0 中文操作手冊 Primer Express v3.0 Primers and Probe Design For Real-Time PCR Primers/Probes Design Guideline TaqMan Probe Primer Probe Primer 離, PCR 50-150 bp G/C % 30-80 % 列 4 G Tm : 68-70 (Quantification assay) 65-67

More information

P4Dual-915GL_BIOS_CN.p65

P4Dual-915GL_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date Total Memory DIMM 1 DIMM 2 [ 14:00:09] [Wed 01/05/2005] BIOS Version : P4Dual-915GL BIOS P1.00 Processor Type : Intel (R) Pentium

More information

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc 在 VMWare-5.5+RedHat-9 下建立 本机 QTopia-2.1.1 虚拟平台 张大海 2008-5-9 一 资源下载 1. 需要以下安装包 : tmake-1.13.tar.gz qtopia-free-source-2.1.1.tar.gz qt-embedded-2.3.10-free.tar.gz qt-x11-2.3.2.tar.gz qt-x11-free-3.3.4.tar.gz

More information

C 1

C 1 C homepage: xpzhangme 2018 5 30 C 1 C min(x, y) double C // min c # include # include double min ( double x, double y); int main ( int argc, char * argv []) { double x, y; if( argc!=

More information

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "P

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE P Oracle Solaris Studio 12.3 IDE 2011 12 E26461-01 2 7 8 9 9 Oracle 10 12 14 21 26 27 29 31 32 33 Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "Project

More information

第一章 概论

第一章  概论 1 2 3 4 5 6 7 8 Linux 7.1 7.1.1 1 1 2 3 2 3 1 2 3 3 1 2 3 7.1.2 1 2 1 2 3 4 5 7.1.3 1 1 2 3 2 7.1 3 7.1.4 1 1 PCB 2 3 2 PCB PCB PCB PCB PCB 4 1 2 PSW 3 CPU CPU 4 PCB PCB CPU PCB PCB PCB PCB PCB PCB PCB

More information

6020

6020 6020 ... 1 1.1... 1 1.2... 1 1.3 6020... 2 1.3... 5 1.3.1... 5 1.3.2 ISA I/O (S1)... 5 1.3.3 (J4,5,6)... 6 1.3.4... 6... 9 2.1... 9 2.2... 9 2.3 COMPILING AND LINKING... 11 2.3.1 MICROSOFT C MICROSOFT

More information

超级好的移值过程介绍: μC/GUI在MSGl9264液晶上的移植

超级好的移值过程介绍: μC/GUI在MSGl9264液晶上的移植 : C GUI MSGl9264 C GUI MSGl9264 µc GUI Micrium µc OS µc GUI * [1] µc GUI Windows µc GUI VC Windows µc GUI µc GUI µc GUI µc GUI MSGl9264 µc GUI 1 µc GUI MSP430F149 MSP430F149 16 (RISC 125ns ) ( ADC ) 2KB

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

WinMDI 28

WinMDI 28 WinMDI WinMDI 2 Region Gate Marker Quadrant Excel FACScan IBM-PC MO WinMDI WinMDI IBM-PC Dr. Joseph Trotter the Scripps Research Institute WinMDI HP PC WinMDI WinMDI PC MS WORD, PowerPoint, Excel, LOTUS

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

f2.eps

f2.eps 前 言, 目 录 产 品 概 况 1 SICAM PAS SICAM 电 力 自 动 化 系 统 配 置 和 使 用 说 明 配 置 2 操 作 3 实 时 数 据 4 人 机 界 面 5 SINAUT LSA 转 换 器 6 状 态 与 控 制 信 息 A 版 本 号 : 08.03.05 附 录, 索 引 安 全 标 识 由 于 对 设 备 的 特 殊 操 作 往 往 需 要 一 些 特 殊 的

More information

Windows 2000 Server for T100

Windows 2000 Server for T100 2 1 Windows 95/98 Windows 2000 3.5 Windows NT Server 4.0 2 Windows DOS 3.5 T200 2002 RAID RAID RAID 5.1 Windows 2000 Server T200 2002 Windows 2000 Server Windows 2000 Server Windows 2000 Server 3.5 for

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

PIC_SERVER (11) SMTP ( ) ( ) PIC_SERVER (10) SMTP PIC_SERVER (event driven) PIC_SERVER SMTP 1. E-

PIC_SERVER (11) SMTP  ( ) ( ) PIC_SERVER (10) SMTP  PIC_SERVER (event driven)  PIC_SERVER SMTP  1.  E- (2005-02-01) (2005-04-28) PIC_SERVER (10) SMTP E-mail PIC_SERVER (event driven) E-mail PIC_SERVER SMTP E-mail 1. E-mail E-mail 1 (1) (2) (3) (4) 1 1. 2 E-mail A E-mail B E-mail SMTP(Simple Mail Transfer

More information

C/C++ - 字符输入输出和字符确认

C/C++ - 字符输入输出和字符确认 C/C++ Table of contents 1. 2. getchar() putchar() 3. (Buffer) 4. 5. 6. 7. 8. 1 2 3 1 // pseudo code 2 read a character 3 while there is more input 4 increment character count 5 if a line has been read,

More information

Application Note Format

Application Note Format USB 說 2 - AD PWM Office: 6F, No. 12, Innovation 1st. RD., Science-Based Industrial Park, Hsin-Chu City, Taiwan, R.O.C Tel: +886-3-6661766 ext.1672 Fax: +886-3-6661765 Etoms Electronics Corp. Publication

More information

mvc

mvc Build an application Tutor : Michael Pan Application Source codes - - Frameworks Xib files - - Resources - ( ) info.plist - UIKit Framework UIApplication Event status bar, icon... delegation [UIApplication

More information

C/C++语言 - C/C++数据

C/C++语言 - C/C++数据 C/C++ C/C++ Table of contents 1. 2. 3. 4. char 5. 1 C = 5 (F 32). 9 F C 2 1 // fal2cel. c: Convert Fah temperature to Cel temperature 2 # include < stdio.h> 3 int main ( void ) 4 { 5 float fah, cel ;

More information

epub 61-2

epub 61-2 2 Web Dreamweaver UltraDev Dreamweaver 3 We b We b We Dreamweaver UltraDev We b Dreamweaver UltraDev We b We b 2.1 Web We b We b D r e a m w e a v e r J a v a S c r i p t We b We b 2.1.1 Web We b C C +

More information

Microsoft Word - Index.doc

Microsoft Word - Index.doc Programmer: B95902048 B95902085 WaveData #include ham // gfx2gba -fsrc -m -pb.pal -t8 water.bmp bg1.bmp bg2.bmp gameover.bmp water_atked.bmp #include "gfx/bg.pal.c" #include "gfx/bg.raw.c"

More information

第 1 页共 9 页 文档履历 版本号日期制 / 修订人内容描述 V 正式版本

第 1 页共 9 页 文档履历 版本号日期制 / 修订人内容描述 V 正式版本 V3s 项目 CamDroid 编译第三方程序 / V1.0 第 1 页共 9 页 文档履历 版本号日期制 / 修订人内容描述 V1.0 2014-04-23 正式版本 第 2 页共 9 页 目录 1. 交叉编译环境... 3 2. 第三方库的 Makefile 示例... 4 3. 第三方应用 Makefile 示例... 5 4. 第三方应用 CamLinux.mk 示例... 6 5. 常见错误...

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

RAQMON Context Setting MG PDA Applications RTP / FTP/ HTTP TCP/UDP S ignaling control plane (e.g. RS VP, NS IS) Streaming Media, Transaction, Bulk dat

RAQMON Context Setting MG PDA Applications RTP / FTP/ HTTP TCP/UDP S ignaling control plane (e.g. RS VP, NS IS) Streaming Media, Transaction, Bulk dat Realtime Application QOS Monitoring (RAQMON) Dan Romascanu dromasca@avaya.com 1 RAQMON Context Setting MG PDA Applications RTP / FTP/ HTTP TCP/UDP S ignaling control plane (e.g. RS VP, NS IS) Streaming

More information

附件四:

附件四: 新 办 企 业 纳 税 服 务 手 册 上 海 市 崇 明 县 国 家 税 务 局 上 海 市 地 方 税 务 局 崇 明 分 局 二 一 三 年 一 月 1 使 用 说 明 1 本 手 册 于 纳 税 人 申 请 新 办 税 务 登 记 时 由 税 务 机 关 发 放, 也 可 在 上 海 税 务 网 崇 明 税 务 局 子 网 站 进 行 下 载 和 参 阅 2 如 需 进 一 步 了 解 相

More information

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20 (Jump) (Loop) (Conditional jump) CMP CALL AND SAR/SHR TEST JMP NOT SAL/SHL Jnnn* OR RCR/ROR LOOP XOR RCL/ROL RETn * nnn, JNE JL -128 127-32,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

42 2141601026 2016 11 27 2 1.1............................................. 2 1.2....................................... 2 1.2.1......................................... 2 1.3.............................................

More information

科学计算的语言-FORTRAN95

科学计算的语言-FORTRAN95 科 学 计 算 的 语 言 -FORTRAN95 目 录 第 一 篇 闲 话 第 1 章 目 的 是 计 算 第 2 章 FORTRAN95 如 何 描 述 计 算 第 3 章 FORTRAN 的 编 译 系 统 第 二 篇 计 算 的 叙 述 第 4 章 FORTRAN95 语 言 的 形 貌 第 5 章 准 备 数 据 第 6 章 构 造 数 据 第 7 章 声 明 数 据 第 8 章 构 造

More information

1

1 1 2 3 4 5 GNUDebugger 6 7 void main(int argc, char **argv){ vulncpy(argv[1]); return; } void vulncpy(char *a){ char buf[30]; strcpy(buf, a); return; } *argv[1] buf Shellcode *argv[1]... &buf &buf 8 strcpy

More information

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Ác Åé å Serial ATA ( Silicon Image SiI3114) S A T A (1) SATA (2)

More information

ARM+Linux嵌入式系统开发路线

ARM+Linux嵌入式系统开发路线 嵌入式培训专家 ARM+Linux 嵌入式系统技术路线 WWW.farsight.com.cn 今天的内容 v v v ARM+Linux 嵌入式开发背景 嵌入式 Linux 系统开发技术路线 交叉编译环境 Bootloader Linux 系统移植 文件系统 Linux 应用程序的开发 Linux 系统开发模式 2 ARM+Linux 开发背景 v 与传统 Windows 开发的差异 Windows

More information

Chapter 2

Chapter 2 2 (Setup) ETAP PowerStation ETAP ETAP PowerStation PowerStation PowerPlot ODBC SQL Server Oracle SQL Server Oracle Windows SQL Server Oracle PowerStation PowerStation PowerStation PowerStation ETAP PowerStation

More information

06721 main() lock pick proc() restart() [2][4] MINIX minix2.0 GDT, IDT irq table[] CPU CPU CPU CPU (IDTR) idt[] CPU _hwint00:! Interrupt

06721 main() lock pick proc() restart() [2][4] MINIX minix2.0 GDT, IDT irq table[] CPU CPU CPU CPU (IDTR) idt[] CPU _hwint00:! Interrupt MINIX ( 730000) ( 730000) MINIX MINIX2.0 MINIX : MINIX TP3 1 MINIX UNIX Tanenbaum UNIX MINIX LINUX MINIX MINIX MINIX1.0 UNIX V7 MINIX2.0[3] POSIX MINIX3 MINIX Gabriel A. Wainer 1994-1995 [5] 1998 I/O 2002

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information