版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库芯片规格书制定

Size: px
Start display at page:

Download "版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库芯片规格书制定"

Transcription

1 GT24L24A2W16 标准点阵汉字库芯片 V 1.0I_A

2 版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库芯片规格书制定

3 目 录 1 概述 芯片特点... 4 芯片内容... 5 字型样张 操作指令 Instruction Parameter( 指令参数 ) Read Data Bytes( 一般读取 ) Read Data Bytes at Higher Speed( 快速读取点阵数据 ) Write Enable( 写使能 ) Write Disable( 写非能 ) Page Program( 页写入 ) Sector Erase( 扇区擦除 ) 读芯片状态时序 芯片状态寄存器以及说明 读取芯片状态寄存器的命令说明 封装及引脚描述 引脚描述 SPI 接口与主机接口参考电路示意图 电气特性 绝对最大额定值 DC 特性 AC 特性 封装尺寸 字库排置 ( 横置横排 ) 点阵排列格式 X16 点汉字排列格式 点阵不等宽 ASCII 方头 (Arial) 字符排列格式 点阵数据验证 ( 客户参考用 ) 国外文字库总表

4 1 概述 GT24L24A2W16 是一款热敏打印机专用字库, 支持 24 点阵 B18030 国标汉字,9x17 12x24 多国 语言字符及条形码图库 排列格式为横置横排 用户通过字符内码, 利用用户手册提供的方法计算 出该字符点阵在芯片中的地址, 可从该地址连续读出字符点阵信息 GT24L24A2W16 除含有上述字库以外, 还提供客户 2M 字节的可自由读写空间, 包括 512 个扇 区, 每个扇区 4K 字节或 16 页, 每页 256 字节, 可自由读写空间地址范围为 : FFFFF 1.1 芯片特点 数据总线 :SPI 串行总线接口 点阵排列方式 : 字节横置横排 时钟频率 工作电压 :2.7V~3.6V 电流 : 工作电流 :12mA 待机电流 :2~13uA 工作温度 :-40 ~85 封装 :SOP8-B 字符集 : GB18030 UNICODE CODEPAGE 条形码 字号 : 9x17 12x24 点阵外文 24x24 点阵中文 4

5 1.2 芯片内容 字符集 字库 字号 字符数 字体 排列方式 中文 GB GB X 宋体 W- 横置横排 437 USA,Standard 9X 粗印体 W- 横置横排 Europe 12x 印体 W- 横置横排 737 Greek 9X 粗印体 W- 横置横排 12x 标准 W- 横置横排 775 Baltic 9X 粗印体 W- 横置横排 12x 印体 W- 横置横排 850 Multilingual 9X 粗印体 W- 横置横排 12x 印体 W- 横置横排 852 Latin 2 9X 粗印体 W- 横置横排 12x 印体 W- 横置横排 855 Cyrillic 9X 粗印体 W- 横置横排 12x 印体 W- 横置横排 857 Turkish 9X 粗印体 W- 横置横排 12x 印体 W- 横置横排 CODEPAGE 9X 印体 W- 横置横排 858 Euro 9X 粗印体 W- 横置横排 12x 印体 W- 横置横排 860 Portuguese 9X 粗印体 W- 横置横排 12x 印体 W- 横置横排 862 Hebrew 9X 粗印体 W- 横置横排 12x 标准 W- 横置横排 863 Canadian 9X 粗印体 W- 横置横排 French 12x 印体 W- 横置横排 864 Arabic 16 点不等宽 256 黑体 W- 横置横排 24 点不等宽 256 黑体 W- 横置横排 865 Nordic 9X 粗印体 W- 横置横排 12x 印体 W- 横置横排 866 Cyrillic 2 9X 粗印体 W- 横置横排 5

6 UNICODE 1251 Cyrillic 1252 Latin Greek 1254 Turkish 1255 Hebrew New 1256 Arabic 1257 Baltic 928 Greek Hebrew old 国际字符集日文假名 Katakana 拉丁文西里尔文希腊文希伯来文 12x 印体 W- 横置横排 9X 粗印体 W- 横置横排 12x 印体 W- 横置横排 9X 粗印体 W- 横置横排 12x 印体 W- 横置横排 9X 粗印体 W- 横置横排 12x 标准 W- 横置横排 9X 粗印体 W- 横置横排 12x 印体 W- 横置横排 9X 粗印体 W- 横置横排 12x 标准 W- 横置横排 16 点不等宽 256 黑体 W- 横置横排 24 点不等宽 256 黑体 W- 横置横排 9X 粗印体 W- 横置横排 12x 印体 W- 横置横排 9X17 96 印体 W- 横置横排 9X17 96 粗印体 W- 横置横排 12x24 96 标准 W- 横置横排 9X17 96 印体 W- 横置横排 9X17 96 粗印体 W- 横置横排 12x24 96 标准 W- 横置横排 9X 印体 W- 横置横排 9X 粗印体 W- 横置横排 12X 印体 W- 横置横排 9X 印体 W- 横置横排 9X 粗印体 W- 横置横排 12X 印体 W- 横置横排 9X 印体 W- 横置横排 9X 粗印体 W- 横置横排 12X 印体 W- 横置横排 9X 粗印体 W- 横置横排 9X 印体 W- 横置横排 12X 印体 W- 横置横排 9X17 96 印体 W- 横置横排 9X17 96 粗印体 W- 横置横排 12X24 96 印体 W- 横置横排 9X 印体 W- 横置横排 9X 粗印体 W- 横置横排 6

7 转码表 专用数字 专用字符 12X 印体 W- 横置横排 9x 印体 W- 横置横排 国际字符集 9x 粗印体 W- 横置横排 12X 印体 W- 横置横排 16 点阵不等宽 576 黑体 W- 横置横排 阿拉伯文 24 点阵不等宽 576 黑体 W- 横置横排 泰文 24 点阵不等宽 128 黑体 W- 横置横排 UNICODE to GB18030 BIG5 to GB18030 CODEPAGE to UNICODE Arial W- 横置横排 Arial 数字及符号 64 点阵不等宽 Times New Roman Times New W- 横置横排 数字及符号 64 点阵不等宽 Roman 时钟体 时钟体 W- 横置横排 数字及符号 点阵不等宽 方块体 方块体 W- 横置横排 数字及符号 64 点阵不等宽 条形码 EAN13 12X27 60 标准 W- 横置横排 条形码 CODE128 16X 标准 W- 横置横排 7

8 1.3 字型样张 24x24 点阵 GB18030 汉字 24 点阵不等宽阿拉伯文 24 点阵不等宽泰文 8

9 9x17 拉丁文 9x17 希腊文 9

10 9x17 西里尔文 9x17 希伯来文 48 点阵不等宽 (Arial) 48 点阵不等宽 (Times new Roman) 10

11 48 点阵不等宽 ( 时钟体 ) 48 点阵不等宽 ( 方块体 ) 11

12 2 操作指令 2.1 Instruction Parameter( 指令参数 ) Instruction Description Instruction Address Dummy Data Code(One-Byte) Bytes Bytes Bytes Read Read Data Bytes h 3 1 to Fast Read Read Data Bytes at Higher Speed B h to WREN Write Enalbe h WRDI Write Disable h PP Page Program h 3 1 to256 SE Sector Erase h 3 BE Block Erase(64K) D8 h 3 CE Chip Erase / 60 H/ C7 H 2.2 Read Data Bytes( 一般读取 ) Read Data Bytes 需要用指令码来执行每一次操作 READ 指令的时序如下 ( 图 ): 首先把片选信号 (CS#) 变为低, 紧跟着的是 1 个字节的命令字 (03 h) 和 3 个字节的地址和通过串行数据输入引脚 (SI) 移位输入, 每一位在串行时钟 (SCLK) 上升沿被锁存 然后该地址的字节数据通过串行数据输出引脚 (SO) 移位输出, 每一位在串行时钟 (SCLK) 下降沿被移出 读取字节数据后, 则把片选信号 (CS#) 变为高, 结束本次操作 如果片选信号 (CS#) 继续保持为底, 则下一个地址的字节数据继续通过串行数据输出引脚 (SO) 移位输出 图 :Read Data Bytes (READ) Instruction Sequence and Data-out sequence: 12

13 2.3 Read Data Bytes at Higher Speed( 快速读取点阵数据 ) Read Data Bytes at Higher Speed 需要用指令码来执行操作 READ_FAST 指令的时序如下 ( 图 ): 首先把片选信号 (CS#) 变为低, 紧跟着的是 1 个字节的命令字 (0B h) 和 3 个字节的地址以及一个字节 Dummy Byte 通过串行数据输入引脚 (SI) 移位输入, 每一位在串行时钟 (SCLK) 上升沿被锁存 然后该地址的字节数据通过串行数据输出引脚 (SO) 移位输出, 每一位在串行时钟 (SCLK) 下降沿被移出 如果片选信号 (CS#) 继续保持为底, 则下一个地址的字节数据继续通过串行数据输出引脚 (SO) 移位输出 例 : 读取一个 15x16 点阵汉字需要 32Byte, 则连续 32 个字节读取后结束一个汉字的点阵数据读取操作 如果不需要继续读取数据, 则把片选信号 (CS#) 变为高, 结束本次操作 图 :Read Data Bytes at Higher Speed (READ_FAST) Instruction Sequence and Data-out sequence: 13

14 2.4 Write Enable( 写使能 ) Write Enable 指令的时序如下 ( 图 ): CS# 变低 - 发送 Write Enable 命令 >CS# 变高 2.5 Write Disable( 写非能 ) Write Enable 指令的时序如下 ( 图 ): CS# 变低 - 发送 Write Disable 命令 >CS# 变高 2.6 Page Program( 页写入 ) Page Program 指令的时序如下 ( 图 ): CS# 变低 - 发送 Page Program 命令 发送 3 字节地址 > 发送数据 >CS# 变高 14

15 2.7 Sector Erase( 扇区擦除 ) Sector Erase 指令的时序如下 ( 图 ): CS# 变低 - 发送 Sector Erase 命令 发送 3 字节地址 >CS# 变高 2.8 读芯片状态时序 2.9 芯片状态寄存器以及说明 判断芯片是否在忙状态, 使用寄存器 B0, 当 B0 位的 WIP 位为 1 的时候, 为忙状态, 当 WIP 位为 0 的时候芯片处于空闲状态 2.10 读取芯片状态寄存器的命令说明 发送命令 05H, 然后读取芯片状态寄存器的 B7-B0 位 判断 WIP 位的状态来判断芯片是否在忙状 态 15

16 3 封装及引脚描述 3.1 引脚描述 SOP8-B DFN8 名称 I/O 描述 1 CS# I 片选输入 (Chip enable input) 2 SO O 串行数据输出 (Serial data output) 3 NC 悬空 4 GND 地 (Ground) 5 SI I 串行数据输入 (Serial data input) 6 SCLK I 串行时钟输入 (Serial clock input) 7 HOLD# I 总线挂起 (Hold, to pause the device without) 8 VCC 电源 (+ 3.3V Power Supply) 串行数据输出 (SO): 该信号用来把数据从芯片串行输出, 数据在时钟的下降沿移出 串行数据输入 (SI): 该信号用来把数据从串行输入芯片, 数据在时钟的上升沿移入 串行时钟输入 (SCLK): 数据在时钟上升沿移入, 在下降沿移出 片选输入 (CS#): 所有串行数据传输开始于 CS# 下降沿,CS# 在传输期间必须保持为低电平, 在两条指令之间保持为高电平 总线挂起输入 (HOLD#): 该信号用于片选信号有效期间暂停数据传输, 在总线挂起期间, 串行数据输出信号处于高阻态, 芯片不对串行数据输入信号和串行时钟信号进行响应 当 HOLD# 信号变为低并且串行时钟信号 (SCLK) 处于低电平时, 进入总线挂起状态 当 HOLD# 信号变为高并时串行时钟信号 (SCLK) 处于低电平时, 结束总线挂起状态 16

17 3.2 SPI 接口与主机接口参考电路示意图 SPI 与主机接口电路连接可以参考下图 (#HOLD 管脚建议接 2K 电阻 3.3V 拉高 ) GT2X HOST CPU 主机 SPI 接口电路示意图 17

18 4 电气特性 4.1 绝对最大额定值 Symbol Parameter Min. Max. Unit Condition T OP Operating Temperature T STG Storage Temperature VCC Supply Voltage V V IN Input Voltage -0.3 VCC+0.3 V GND Power Ground V 4.2 DC 特性 Condition:T OP =-40 to 85,GND=0V Symbol Parameter Min. Max. Unit Condition I DD VCC Supply Current(active) 12 ma I SB VCC Standby Current 13 ua /CS=VCC,VIN= VCC or VSS I cc2 Deep Power-Down /CS=VCC,VIN= 2 5 ua Current VCC or VSS V IL Input LOW Voltage VCC V V IH Input HIGH Voltage 0.7VCC VCC+0.4 V V OL V OH 0.4 Output LOW Voltage V (I OL =1.6mA) VCC=2.7~3.6V 0.8VCC Output HIGH Voltage V (I OH =-100uA) I LI Input Leakage Current 0 2 ua I LO Output Leakage Current 0 2 ua Note:I IL :Input LOW Current,I IH :Input HIGH Current, I OL :Output LOW Current,I OH :Output HIGH Current, 4.3 AC 特性 Symbol Alt. Parameter Min. Max. Unit Fc Fc Clock Frequency D.C. 120 MHz tch tclh Clock High Time 4 ns tcl tcll Clock Low Time 4 ns tclch Clock Rise Time(peak to peak) 0.2 V/ns tchcl Clock Fall Time (peak to peak) 0.2 V/ns tslch tcss CS# Active Setup Time (relative to SCLK) 5 ns tchsl CS# Not Active Hold Time (relative to SCLK) 5 ns tdvch tdsu Data In Setup Time 2 ns tchdx tdh Data In Hold Time 2 ns t CHSH CS# Active Hold Time (relative to SCLK) 5 ns t SHCH CS# Not Active Setup Time (relative to SCLK) 5 ns 18

19 t SHSL tcsh CS# Deselect Time 20 ns t SHQZ tdis Output Disable Time 6 ns t CLQV tv Clock Low to Output Valid 6.5 ns t CLQX tho Output Hold Time 0 ns t HLCH HOLD# Setup Time (relative to SCLK) 5 ns t CHHH HOLD# Hold Time (relative to SCLK) 5 ns t HHCH HOLD Setup Time (relative to SCLK) 5 ns t CHHL HOLD Hold Time (relative to SCLK) 5 ns t HHQX tlz HOLD to Output Low-Z 6 ns t HLQZ thz HOLD# to Output High-Z 6 ns 19

20 5 封装尺寸 封装类型 SOP8-B 封装尺寸 5.28mmX7.90mm(206milX311mil) Package SOP8-B Unicodeit :mm Dimensions(inch dimensions are derived from the original mm dimensions) A A1 A2 b C D E E1 L S Mm Min Norm Max inch Min Norm Max

21 上海高通半导体有限公司 6 字库排置 ( 横置横排 ) 6.1 点阵排列格式 每个汉字在芯片中是以汉字点阵字模的形式存储的, 每个点用一个二进制位表示, 存 1 的点, 当显示时可以在屏幕上显示亮点, 存 0 的点, 则在屏幕上不显示 点阵排列格式为横置横排 : 即一个字节的高位表示左面的点, 低位表示右面的点, 排满一行的点后再排下一行 这样把点阵信息用来直接在显示器上按上述规则显示, 则将出现对应的汉字 X16 点汉字排列格式 15X16 点汉字的信息需要 32 个字节 (BYTE 0 BYTE 31) 来表示 该 15X16 点汉字的点阵数 据是横置横排的, 其具体排列结构如下图 : 15 位 空白 BYTE 0 BYTE 1 B7 B6 B5 B4 B3 B2 B1 B0 B7 B6 B5 B4 B3 B2 B1 B0 BYTE 2 BYTE 3 B7 B6 B5 B4 B3 B2 B1 B0 B7 B6 B5 B4 B3 B2 B1 B0 16 行 BYTE 28 BYTE 29 B7 B6 B5 B4 B3 B2 B1 B0 B7 B6 B5 B4 B3 B2 B1 B0 BYTE 30 BYTE 31 B7 B6 B5 B4 B3 B2 B1 B0 B7 B6 B5 B4 B3 B2 B1 B 点阵不等宽 ASCII 方头 (Arial) 字符排列格式 16 点阵不等宽字符的信息需要 34 个字节 (BYTE 0 BYTE33) 来表示 存储格式由于字符是不等宽的, 因此在存储格式中 BYTE0~ BYTE1 存放点阵宽度数据,BYTE2-33 存放横置横排点阵数据 具体格式见下图 : 点阵宽度数据 ASCII 点阵数据 BYTE 0 BYTE 1 B7 B6 B5 B4 B3 B2 B1 B0 B7 B6 B5 B4 B3 B2 B1 B0 BYTE 2 B7 B6 B5 B4 B3 B2 B1 B0... BYTE 33 B7 B6 B5 B4 B3 B2 B1 B0 存储结构 不等宽字符的点阵存储宽度是以 BYTE 为单位取整的, 根据不同字符宽度会出现相应的空白区 根 BYTE0~ BYTE1 所存放点阵的实际宽度数据, 可以对还原下一个字的显示或排版留作参考 21

22 上海高通半导体有限公司 16 列 点阵宽度 空白 BYTE 2 BYTE 3 B7 B6 B5 B4 B3 B2 B1 B0 B7 B6 B5 B4 B3 B2 B1 B0 BYTE 4 BYTE 5 B7 B6 B5 B4 B3 B2 B1 B0 B7 B6 B5 B4 B3 B2 B1 B0 16 行 BYTE 30 BYTE 31 B7 B6 B5 B4 B3 B2 B1 B0 B7 B6 B5 B4 B3 B2 B1 B0 BYTE 32 BYTE 33 B7 B6 B5 B4 B3 B2 B1 B0 B7 B6 B5 B4 B3 B2 B1 B0 例如 :ASCII 方头字符 B 0-33BYTE 的点阵数据是 : 00 0C F 80 7F C0 60 C0 60 C0 60 C0 7F 80 7F C0 60 E F C0 7F 其中 : BYTE0~ BYTE1: 00 0C 为 ASCII 方头字符 B 的点阵宽度数据, 即 :12 位宽度 字符后面有 4 位空白区, 可以在排版下一个字时考虑到这一点, 将下一个字的起始位置前移 ( 见下图 ) BYTE2-33: F 80 7F C0 60 C0 60 C0 60 C0 7F 80 7F C0 60 E F C0 7F 为 ASCII 方头字符 B 的点阵数据 22

23 7 点阵数据验证 ( 客户参考用 ) 客户将芯片内 A 的数据调出与以下进行对比 若一致, 表示 SPI 驱动正常工作 ; 若不一致, 请重 新编写驱动 排置 :Y( 竖置横排 ) 点阵大小 8X16 字母 "A" 点阵数据 :00 E0 9C 82 9C E F F 00 排置 :W( 横置横排 ) 点阵大小 8X16 字母 "A" 点阵数据 : C

24 8 177 国外文字库总表 177 国外文字库总表 文系 区域序号 国家 语言 ISO-8859 欧洲 1 英国 Britain 或 United Kingdom 2 爱尔兰 lreland 英语 ISO 美国 USA 英语 ISO 加拿大 Canada 英语 法语 ISO 伯利兹 Belize 6 牙买加 Jamaica 7 特立尼达和多巴哥 Trinidad and Tobago 8 巴哈马 Bahamas 北美洲 9 安提瓜和巴布达 Antigua and Barbuda 英语 ISO 多米尼加 Dominica 11 圣文森特 St.Vincent 12 圣卢西亚岛 St.Lucia 13 格林纳达 Grenada 14 圣基茨 尼维斯 St.Kitts-Nevis 南美洲 15 圭亚那 Guyana 英语 ISO 澳大利亚 Australia 17 新西兰 New Zealand 拉丁文 18 汤加 Tonga ( 英语 ) 19 斐济 Fiji 大洋洲 20 帕劳 Palau 21 所罗门 Solomon 英语 ISO 瓦努阿图 Vanuatu 23 基里巴斯 Kiribati 24 瑙鲁 Nauru 25 马绍尔群岛 Marshall Islands 26 南非 South Africa 英语 南非荷兰语 ISO 津巴布韦 Zimbabwe 28 冈比亚 Gambia 29 塞拉利昂 Sierra Leone 30 利比里亚 Liberia 非洲 31 加纳 Ghana 32 尼日利亚 Nigeria 英语 ISO 乌干达 Uganda 34 赞比亚 Zambia 35 马拉维 Malawi 36 塞舌尔 Seychelles 24

25 37 毛里求斯 Mauritius 38 博茨瓦纳 Botswana 39 纳米比亚 Namibia 40 莱索托 Lesotho 41 法国 France 法语 ISO 欧洲 42 比利时 Belgium 法语 荷兰语 ISO 摩纳哥 Monaco 法语 意大利语 ISO 北美洲 44 海地 Haiti 法语 ISO 拉丁文 ( 法语 ) 拉丁文 ( 法语 ) 拉丁文 ( 西班牙语 ) 非洲非洲欧洲北美洲 45 塞内加尔 Senegal 法语 46 马里 Mali 47 布基纳法索 Burkina Faso 48 几内亚 Guinea 49 科特迪瓦 cote dlvoire 50 多哥 Togo 法语 ISO 贝宁 Benin 52 尼日尔 Niger 53 喀麦隆 Cameroon 54 乍得 Chad 55 中非 Central African Republic 56 吉布提 Djibouti 57 布隆迪 Burundi 58 民主刚果 Republic of Democratic Congo 59 刚果 Congo 法语 ISO 加蓬 Gabon 61 科摩罗 Comoros 62 马达加斯加 Madagascar 63 西班牙 Spain 西班牙语 加泰隆 ISO 语 64 安道尔 Andorra 西班牙语 ISO 墨西哥 Mexico 66 危地马拉 Guatemala 67 哥斯达黎加 Costa Rica 68 巴拿马 Panama 69 多米尼加共和国 Dominican Republic 70 萨尔瓦多 El Salvador 71 洪都拉斯 Honduras 72 尼加拉瓜 Nicaragua 73 波罗黎各 Puerto Rico 74 古巴 Cuba 南美洲 75 委瑞内拉 Venezuela 76 哥伦比亚 Colombia 西班牙语 ISO ISO 西班牙语 ISO ISO

26 拉丁文 ( 葡萄牙语 ) 拉丁文 ( 德语 ) 拉丁文 ( 荷兰语 ) 拉丁文 ( 北欧 ) 拉丁文 ( 中欧 ) 拉丁文 ( 中欧 ) 拉丁文 ( 南欧 ) 非洲 77 秘鲁 Peru 78 阿根廷 Argentina 79 厄瓜多尔 Ecuador 80 智利 Chile 81 乌拉圭 Uruguay 82 巴拉圭 Paraguay 83 玻利维亚 Bolivia 84 赤道新几内亚 Equatorial New Guinea 85 休达和梅利亚 Ceuta and Melilla 欧洲 86 葡萄牙 Portugal 南美洲 87 巴西 非洲 欧洲 Brazil 88 佛得角 Cape Verde 89 几内亚比绍 Guinea-Bissau 90 圣多美和普林西比 Sao Tome and Principe 91 安哥拉 Angola 92 莫桑比克 Mozambique 西班牙语 葡萄牙语 ISO ISO ISO ISO 德国 Germany 德语 ISO 瑞士 Switzerland 德语 法语 ISO 奥地利 Austria 德语 ISO 卢森堡 Luxembourg 德语 法语 ISO 列支敦士登 Liechtenstein 德语 ISO 欧洲 98 荷兰 Holland 南美洲 99 苏里南 欧洲 欧洲 Surinam 荷兰语 ISO ISO 丹麦 Denmark 丹麦语 ISO 挪威 Norway 挪威语 ISO 瑞典 Sweden 瑞典语 ISO 法罗群岛 Faroes,The 法罗语 ISO 格陵兰 Greenland 格陵兰语 ISO 冰岛 Iceland 冰岛语 ISO 芬兰 Finland 芬兰语 瑞典语 ISO 爱沙尼亚 Estonia 爱沙尼亚语 ISO 拉脱维亚 Latvia 拉脱维亚语 ISO 立陶宛 Lithuania 立陶宛语 ISO 捷克 Czech 捷克语 ISO 斯洛伐克 Slovakia 斯洛伐克语 ISO 波兰 Poland 波兰语 ISO 匈牙利 Hungary 匈牙利语 ISO 罗马尼亚 Romania 罗马尼亚语 ISO 欧洲 115 斯洛文尼亚 Slovenia 斯洛文尼亚语 ISO 克罗地亚 Croatia 克罗地亚语 ISO 欧洲 117 意大利 Italy 118 圣马力诺 San Marino 意大利语 ISO ISO

27 119 梵提冈 Vatican 120 土耳其 Turkey 土耳其语 ISO 马耳他 Malta 马耳他语 ISO 阿尔巴尼亚 Albania 阿尔巴尼亚语 ISO 越南 Vietnam 越南语 ISO 马来西亚 Malaysia 马来语 ISO 拉丁文 125 文莱 Brunei 亚洲 ( 东南亚 ) 126 印度尼西亚 Indonesia 印尼语 ISO 东帝汶 East Timor 128 菲律宾 Philippines,The 英语 塔加洛语 ISO 拉丁文非洲 129 肯尼亚 Kenya ( 非洲 ) 130 坦桑尼亚 Tanzania 斯瓦西里语 ISO 俄罗斯 Russia 132 白俄罗斯 Byelorussia 或 Belarus 俄语 ISO 乌克兰 Ukraine 俄语 乌克兰语 ISO 西里尔文 134 保加利亚 Bulgaria 保加利亚语 ISO 欧洲 ( 东欧 ) 135 摩尔多瓦 Moldova 俄语 ISO 南斯拉夫联盟 F.R.Yugoslavia 塞尔维亚语 ISO 波黑 Barbados 塞尔维亚语 ISO 马其顿 Macedonia 马其顿语 ISO 阿塞拜疆 Azerbaijan 阿塞拜疆语 ISO 吉尔吉斯斯坦 Kirghizstan 吉尔吉斯斯坦语 ISO 塔吉克斯坦 Tajikistan 塔吉克斯坦语 ISO 西里尔文亚洲 142 土库曼斯坦 Turkmenistan 土库曼斯坦语 ISO ( 亚洲 ) 143 乌兹别克斯坦 Uzbekistan 乌兹别克斯坦语 ISO 哈萨克斯坦 Kazakhstan 哈萨克斯坦语 ISO 蒙古 Mongolia 蒙古语 ISO 希腊文亚洲 146 希腊 Greece 147 塞浦路斯 Cyprus 希腊语 ISO 埃及 Egypt 149 突尼斯 Tunisia 150 利比亚 Libya 151 摩洛哥 Morocco 阿拉伯文非洲 152 阿尔及利亚 Algeria ( 非洲 ) 153 苏丹 Sudan,The 阿拉伯语 ISO 索马里 Somalia 155 西撒哈拉 West Sahara 156 毛里塔尼亚 Mauritania 157 叙利亚 Syria 阿拉伯文 158 阿联酋 United Arab Emirates,The 亚洲 ( 亚洲 ) 159 黎巴嫩 Lebanon 阿拉伯语 ISO 也门 Yemen 27

28 161 科威特 Kuwait 162 卡塔尔 Qatar 163 巴林 Bahrain 164 阿曼 Oman 165 约旦 Jordan 166 伊拉克 Iraq 167 沙特阿拉伯 Saudi Arabia 168 巴勒斯坦 Palestine 169 伊朗 Iran 波斯语 170 巴基斯坦 Pakistan 乌尔都语 阿拉伯语 171 阿富汗 Afghanistan 普什图语 希伯来文 亚洲 172 以色列 Israel 希伯来语 ISO 泰文 亚洲 173 泰国 Thailand 泰语 ISO 日文 亚洲 174 日本 Japan 日文 JIS0208 韩文 亚洲 175 韩国 KSC5601 韩文 KSC5601 中文 亚洲 176 中国 China 汉语 GB2312 亚洲 177 新加坡 Singapore 汉语 28

29 上海 OFFICE 地址 : 上海徐汇区宜山路 1388 号民润大厦 2 号楼 2 层电话 : 传真 : Sales@genitop.com 深圳 OFFICE 地址 : 深圳市福田区车公庙泰然工贸园 213 栋 3BV2 电话 : 传真 :

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V GT20L16J1Y 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V2.0I_A Datasheet 格式的修改 2010-07 V2.0I_B Datasheet 格式的修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 1.3 芯片特点... 4 芯片内容... 5 字型样张... 6 2 操作指令... 8 2.1 Instruction

More information

上海高通半导体有限公司 GT21L24S1W 标准点阵汉字库芯片 GT21L24S1W 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT21L24S1W 标准点阵汉字库芯片 GT21L24S1W 标准点阵汉字库芯片 V GT21L24S1W 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V35 12X24 点国际扩展字符 2010-07 12x24 点国标扩展字符起始地址 2010-07 V36 内容没有调整 2010-08 V37 修改字型样张 2012-01 修改内容表 2012-01 V3.7I_A DATASHEET 格式修改 2012-07 V3.7I_B DATASHEET

More information

Microsoft Word - GT21L16S2Y简要说明V37.doc

Microsoft Word - GT21L16S2Y简要说明V37.doc GT21L16S2Y 标准汉字字库芯片 简要说明 BRIEF 字型 :11X12 点阵 15X16 点阵 字符集 :G312 兼容 Unicode 内码 排置方式 : 竖置横排 总线接口 :SPI 串行总线 芯片形式 :SO8 封装 VER 3.7 2012-2 集通数码科技 - 1 - 版本修订记录 GT21L16S2Y 标准点阵汉字字库芯片简要说明 版本号 修改内容 日期 备注 V35 1. 15*16

More information

GT20L16P1Y|WWW.RUNDEX.COM

GT20L16P1Y|WWW.RUNDEX.COM GT20L16P1Y 标 准 汉 字 字 库 芯 片 用 户 手 册 DATASHEET 支 持 GB2312 简 体 汉 字 字 符 集 支 持 UNICODE 常 用 简 繁 体 汉 字 字 符 集 支 持 Unicode 175 国 中 外 文 字 符 集 拉 丁 文 希 腊 文 西 里 尔 文 阿 拉 伯 文 希 伯 来 文 泰 文 等 排 置 方 式 : 竖 置 横 排 总 线 接 口 :SPI

More information

Microsoft Word 'X test3.doc

Microsoft Word 'X test3.doc iii...v... vi... vi...x... xi 96... xii... xiii... xv... A- 2003... A-3...2...5...7...9......4...7...8...9...20...22...25...27...29...3...33...35...38...40...42...43...44...46...47...49...5...53...54...

More information

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W V 1.0I_B 字库说明书格式修改

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W V 1.0I_B 字库说明书格式修改 GT30L24A3W 标准点阵中外文字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 2013-11 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W 2013.12 V 1.0I_B 字库说明书格式修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 芯片特点... 4 芯片内容... 5

More information

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W V 1.0I_B 字库说明书格式修改

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W V 1.0I_B 字库说明书格式修改 GT30L24A3W 标准点阵中外文字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 2013-11 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W 2013.12 V 1.0I_B 字库说明书格式修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 芯片特点... 4 芯片内容... 5

More information

版本修订记录 版本号修改内容日期备注 VER1.0I_A 字库芯片说明书的制定 字库定制 V1.1I_A 增加 CP437 特殊字符算法及样张 V1.1I_B 增加状态寄存器说明 V1.1I_C 增加自由读写空间对应烧录器中的型号 V1

版本修订记录 版本号修改内容日期备注 VER1.0I_A 字库芯片说明书的制定 字库定制 V1.1I_A 增加 CP437 特殊字符算法及样张 V1.1I_B 增加状态寄存器说明 V1.1I_C 增加自由读写空间对应烧录器中的型号 V1 GT32L24F0210 标准点阵多国语言字库芯片 V1.1I_D 2015-5 1 版本修订记录 版本号修改内容日期备注 VER1.0I_A 字库芯片说明书的制定 2013-01 字库定制 V1.1I_A 增加 CP437 特殊字符算法及样张 2013-01 V1.1I_B 增加状态寄存器说明 2013-03 V1.1I_C 增加自由读写空间对应烧录器中的型号 2013-06 V1.1I_D 字库芯片说明书格式修改

More information

版本修订记录 版本号修改内容日期备注 V1.0I_A 字库芯片说明书的制定 字库定制 V1.0I_B 增加自由读写空间对应烧录器中的型号 V1.1II_A 增加 UNICODE 转 GB 转码表 V1.1II_B 字库芯片说明书修改

版本修订记录 版本号修改内容日期备注 V1.0I_A 字库芯片说明书的制定 字库定制 V1.0I_B 增加自由读写空间对应烧录器中的型号 V1.1II_A 增加 UNICODE 转 GB 转码表 V1.1II_B 字库芯片说明书修改 GT32L24A180 标准点阵中外文字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V1.0I_A 字库芯片说明书的制定 2013-04 字库定制 V1.0I_B 增加自由读写空间对应烧录器中的型号 2013-06 V1.1II_A 增加 UNICODE 转 GB 转码表 2013-07 V1.1II_B 字库芯片说明书修改 2015-05 2 目 录 1 概述...

More information

版本修订记录 版本号修改内容日期备注 V12 Update 地址表 增加日文 JIS0208 调用程序 韩文符号调用程序 V18 增加中日韩 Unicode 调用程序 V19 增加 BIG5 字符集 5401 程序调用 V19II _A

版本修订记录 版本号修改内容日期备注 V12 Update 地址表 增加日文 JIS0208 调用程序 韩文符号调用程序 V18 增加中日韩 Unicode 调用程序 V19 增加 BIG5 字符集 5401 程序调用 V19II _A GT22L16A1Y 标准点阵中外文字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V12 Update 地址表 2011-08 增加日文 JIS0208 调用程序 韩文符号调用程序 2011-09 V18 增加中日韩 Unicode 调用程序 2011-09 V19 增加 BIG5 字符集 5401 程序调用 2011-09 V19II _A 变更韩文 Unicode

More information

版本修订记录 版本号修改内容日期备注 V 35 将 ASCII 部分统一改为 96 个 将调用程序 ASCII 调用的内码范围改为 0x20-0x7F V4.0IIB 字型样张修改 V4.0IIC ASCII 部分调用程序修改 V4.0

版本修订记录 版本号修改内容日期备注 V 35 将 ASCII 部分统一改为 96 个 将调用程序 ASCII 调用的内码范围改为 0x20-0x7F V4.0IIB 字型样张修改 V4.0IIC ASCII 部分调用程序修改 V4.0 GT20L24F6Y 标准点阵多国语言字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V 35 将 ASCII 部分统一改为 96 个 2011-09 将调用程序 ASCII 调用的内码范围改为 0x20-0x7F 2011-09 V4.0IIB 字型样张修改 2012-01 V4.0IIC ASCII 部分调用程序修改 2012-03 V4.0IIID 部分地址表, 内容表修改,

More information

Microsoft Word - 43屆2011年國際化奧總報告 revised by FTS.doc

Microsoft Word - 43屆2011年國際化奧總報告 revised by FTS.doc 台 灣 ( 中 華 台 北 ) 4 名 高 中 學 生 贏 得 2011 年 土 耳 其 43 屆 國 際 化 奧 自 由 與 民 主 超 級 生 命 雙 螺 旋 (A-T)(C-G) 配 對 的 美 譽 國 際 化 學 奧 林 匹 亞 競 賽 計 畫 主 持 人 兼 代 表 團 團 長 摘 要 第 43 屆 2011 年 國 際 化 學 奧 林 匹 亞 競 賽 七 月 17 日 下 午 3 時 於

More information

LearnerStatisticsList

LearnerStatisticsList Location: All Location Term: All Program: All Type: All Class: All Break down by Gender Gender Count % Female 5340 66 % Male 2702 34 % Total 8042 Break down by Age Group Age Group Count % 19-25 614 8 %

More information

EPSON Safety Instructions Manual

EPSON Safety Instructions Manual ... 2... 9... 11... 12 Epson... 14 1 2 3 4 5 ( ) A/V 50cm 6 7 + - 8 LCD LCD LCD 9 14 UL NEMKO CSA FIMKO BSI LCIE IMQ CEBEC SAA KEMA OVE PSE SEV EK SEMKO CCC DEMKO PSB VDE 10 CD-ROM http://www.epson.com

More information

GT21L16S1W-S datasheetV25.doc

GT21L16S1W-S datasheetV25.doc GT21L16S1W-S 15X16 点 阵 标 准 汉 字 字 库 芯 片 (SPI 接 口 ) 用 户 手 册 VER 2.51 2006-Q2 集 通 数 码 科 技 - 1 - www.genitop.com 版 本 修 订 记 录 版 本 号 修 改 内 容 日 期 备 注 V2.5 生 成 数 据 手 册 2006-6 V2.51 修 正 了 扩 展 字 符 区 的 8X16ASCII

More information

导成word文件

导成word文件 黄 金 行 业 月 度 分 析 报 告 2016 年 9 月 5 日 发 布 Beijing Unbank Technology Co.,Ltd. www.unbank.info [ 全 国 ] http://www.unbank.info 1 服 务 电 话 :(010)63368810 目 录 第 一 章 宏 观 经 济... 4 一 本 月 宏 观 经 济 运 行... 4 ( 一 ) 中 国

More information

Ctpu

Ctpu 联合国 A/61/394 大会 Distr.: General 14 November 2006 Chinese Original: French 第六十一届会议议程项目 90 一. 导言 全面彻底裁军第一委员会的报告 报告员 : 阿卜杜勒哈米德 加尔比先生 ( 突尼斯 ) 1. 题为 : 全面彻底裁军 : (a) 核试验的通知 ; (b) 导弹 ; (c) 维护 1925 年 日内瓦议定书 权威的措施

More information

中国地区 - 配送服务安排需知 1) 如收寄件地点处于偏远地区丶没有升降机丶货品须经楼梯送货, 则需收取额外搬运附加费 2) 如派件的地址为以上地点, 派送时间可能需增加一个工作天 3) 如货件拒收丶地址或电话错误丶临时更改收货地点, 第二次送货需收取额外附加费 4)NPGL 保留最终解释及决定权,

中国地区 - 配送服务安排需知 1) 如收寄件地点处于偏远地区丶没有升降机丶货品须经楼梯送货, 则需收取额外搬运附加费 2) 如派件的地址为以上地点, 派送时间可能需增加一个工作天 3) 如货件拒收丶地址或电话错误丶临时更改收货地点, 第二次送货需收取额外附加费 4)NPGL 保留最终解释及决定权, 中国地区 - 配送服务安排需知 1) 如收寄件地点处于偏远地区丶没有升降机丶货品须经楼梯送货, 则需收取额外搬运附加费 2) 如派件的地址为以上地点, 派送时间可能需增加一个工作天 3) 如货件拒收丶地址或电话错误丶临时更改收货地点, 第二次送货需收取额外附加费 4)NPGL 保留最终解释及决定权, 如有任何疑问, 请和 NPGL 联络 活美水素水的运费 ( 美元 ) 商品地域安徽 Anhui 北京

More information

TOEIC®テスト Worldwide Report 2012<抜粋>

TOEIC®テスト Worldwide Report 2012<抜粋> Worldwide Report 2012 .... 3 2012 TOEIC...... 4.. 5 7 12 13 14 15.. 17.. 18 19 TOEIC 20.. 21 2 TOEIC The TOEIC Background Questionnaire TOEIC 2012 TOEIC TOEIC Report on Test Takers Worldwide 2012() 100%

More information

先进制造技术是近几年提得较多,叫得较响的一个专用词语,而且先进制造技术在机械制造业领域中的应用越来越广泛而深入,并取得了很大的成绩

先进制造技术是近几年提得较多,叫得较响的一个专用词语,而且先进制造技术在机械制造业领域中的应用越来越广泛而深入,并取得了很大的成绩 21 世 纪 全 国 高 校 应 用 人 才 培 养 旅 游 类 规 划 教 材 中 国 公 民 出 境 旅 游 目 的 地 国 家 ( 地 区 ) 概 况 方 海 川 主 编 付 景 保 副 主 编 内 容 简 介 中 国 公 民 出 境 旅 游 目 的 地 国 家 ( 地 区 ) 概 况 一 书 共 分 5 章, 第 1 章 主 要 介 绍 有 关 中 国 出 境 旅 游 市 场 的 发 展 历

More information

5 日本 20 日语 汉语国际教育等文科类专业本科 ( 赴任前已获得学士学位 ) 及以上, 派出前基本掌握赴任国教学 生活用语 ; 日语 亚洲 6 韩国 尼泊尔 蒙古 182 孔子课堂及教学点 孔子课堂及教学点 大 孔子课堂 韩语 汉语国际教育及相关

5 日本 20 日语 汉语国际教育等文科类专业本科 ( 赴任前已获得学士学位 ) 及以上, 派出前基本掌握赴任国教学 生活用语 ; 日语 亚洲 6 韩国 尼泊尔 蒙古 182 孔子课堂及教学点 孔子课堂及教学点 大 孔子课堂 韩语 汉语国际教育及相关 2014 年汉语教师志愿者岗位信息表 大洲 国家 人数 岗位类型 岗位要求 任教时间 亚洲 1 泰国 1700 2 菲律宾 350 3 新加坡 5 派出前基本掌握赴任国教学 生活用语 派出前基本掌握赴任国教学 生活用语 掌握 1-2 项中华才艺 掌握 1-2 项中华才艺 文科类专业本科以上应届毕业生, 派出前基本掌握赴任国教学 生活用语 2014.5-2015.3 2014.5-2015.3 2014.3-2015.3

More information

C 2013/3 - Medium Term Plan and Programme of Work and Budget

C 2013/3 - Medium Term Plan and Programme of Work and Budget C 2013/3 号文件 2014-17 年中期计划 及 2014-15 年工作计划和预算 情况说明之八 2013 年 6 月 2014-15 年新的会费分摊比例的影响 概要 : 本说明提供拟议的 2014-15 年新的会费分摊比例对粮农组织成员分摊会 费的影响情况 2012-13 年至 2014-15 年, 成员分摊会费预期发生的总体变化是由于 以下两项变化所致 :(i) 应用新的会费分摊比例 ;(ii)

More information

前言 IFPRI CAAS ICARD 1996

前言 IFPRI CAAS ICARD 1996 中国 的合作及其影响概要 2015 前言 20 70 1974 IFPRI 1975 2 2003 CAAS ICARD 1996 促进粮食政策研究 中国战略支持计划 和国际农业与农村发展研究中心 30 8400 1.25 1996 2003 ICARD 60 3 4 25 促进农业和农村发展 农业公共投资 90 1998 2002 2008 5 农业的公共支出建议 2013 XX XX XX XX

More information

A/56/INF/1 Rev.2

A/56/INF/1 Rev.2 C A/56/INF/1 REV.2 原文 : 英文日期 :2016 年 9 月 29 日 世界知识产权组织成员国大会 第五十六届系列会议 2016 年 10 月 3 日至 11 日, 日内瓦 一般信息 秘书处备忘录 1. 本文件涉及将于 2016 年 10 月 3 日至 11 日举行例会或特别会议的 WIPO 成员国及 WIPO 管理的各 联盟的下列 21 个大会和其他机构的会议 : (1) 世界知识产权组织大会第四十八届会议

More information

貳、

貳、 中 華 民 國 參 加 第 42 屆 國 際 技 能 競 賽 報 告 書 THE 42nd WORLDSKILLS COMPETITION 25 Jun. 9 Jul. 2013 Leipzig, Germany 行 政 院 勞 工 委 員 會 編 印 中 華 民 國 102 年 10 月 行 政 院 及 所 屬 各 機 關 出 國 報 告 ( 出 國 類 別 : 其 他 類 參 加 國 際 比 賽

More information

( CIP ) / :, ISBN G.224 CIP (2006) : mm 1/ 32 : : ISBN / G224 ( 16 ) :35

( CIP ) / :, ISBN G.224 CIP (2006) : mm 1/ 32 : : ISBN / G224 ( 16 ) :35 O L Y M P I C S ( CIP ) / :,2006.2 ISBN 7-80702 - 358-9..........G.224 CIP (2006)080160 :8501168mm 1/ 32 : 103.25 :2000 2006 3 1 ISBN 7-80702 - 358-9/ G224 ( 16 ) :358.00 :,,,,,,, 18,, :,,,,,,,,, 5,600,

More information

Microsoft Word C_GC60_12.docx

Microsoft Word C_GC60_12.docx 大 会 GC(60)/12 2016 年 8 月 4 日 第六十届常会 临时议程项目 12 (GC(60)/1 Add.1 和 Add.2) 普遍分发中文原语文 : 英文 2017 年经常预算会费分摊比额表 1. 总干事谨向大会提交一份决议草案 大会可据此按照 规约 第十四条 D 款确定对国际原子能机构 2017 年经常预算的会费分摊比额 随附决议草案附件一所载比额表系根据大会所确定的原则和安排编制

More information

261225 0 . 1 . () 2 100 LP 100 100 100 1 40 3 22 23 35 87% 2 83 7 30% 1 2% 0 1% 2 7% 2 4% 2 9% 2 7% 3 7% 0 10 11 51% 19 81% 0 1% 2 9% LP 100% 45 5 11 11 23 100% 43 14 34% 2 5% 2 5% 9 22% 7 17% 100% 20

More information

Hong Kong & Macao Country Place Day of Delivery Size Limits KG Weight Limits Hong Kong * Macao * 寄往香港內裝不可分割物品, 每件重量可達 35 公斤 (Mainlan

Hong Kong & Macao Country Place Day of Delivery Size Limits KG Weight Limits Hong Kong * Macao * 寄往香港內裝不可分割物品, 每件重量可達 35 公斤 (Mainlan 拾壹 173 177 178 178 190 202 204 207 103 103 103 Hong Kong & Macao Country Place Day of Delivery Size Limits KG Weight Limits Hong Kong 1-2 1 30 * Macao 1-2 1 30 * 寄往香港內裝不可分割物品, 每件重量可達 35 公斤 (Mainland China)

More information

PowerPoint Presentation

PowerPoint Presentation KNX: 居家及建築控制的世界標準 The worldwide STANDARD for Home and Building Control KNX is the Standard CENELEC EN 50090 歐洲唯一的居家建築電子系統標準 (HBES) 基於 KNX 標準 CEN EN 13321-1 歐洲建築自動化協定 (European Standard for Building Automation)

More information

Microsoft Word C1_GC59_13Rev1.docx

Microsoft Word C1_GC59_13Rev1.docx 原子用于和平 大会 GC(59)/13/Rev.1 1 2015 年 9 月 13 日 第五十九届常会 临时议程项目 13 (GC(59)/1 Add.1 Add.2 和 Add.3) 普遍分发 中文原语文 : 英文 2016 年经常预算会费分摊比额表 1. 总干事谨向大会提交一份决议草案 大会可据此按照 规约 第十四条 D 款确定对国际原子能机构 2016 年经常预算的会费分摊比额 随附决议草案附件一所载比额表系根据大会所确定的原则和安排编制

More information

目 錄 I 目 錄 目 錄... I 表 目 錄... II 圖 目 錄... III 說 明... 1 詞 彙 解 釋... 2 前 言... 3 第 一 章 本 澳 的 醫 療 衛 生 概 況... 4 第 一 節 健 康 指 標... 4 第 二 節 醫 療 需 求... 5 第 三 節 醫

目 錄 I 目 錄 目 錄... I 表 目 錄... II 圖 目 錄... III 說 明... 1 詞 彙 解 釋... 2 前 言... 3 第 一 章 本 澳 的 醫 療 衛 生 概 況... 4 第 一 節 健 康 指 標... 4 第 二 節 醫 療 需 求... 5 第 三 節 醫 醫 靠? 本 澳 醫 療 統 計 資 料 的 研 究 澳 門 科 技 大 學 李 達 康 目 錄 I 目 錄 目 錄... I 表 目 錄... II 圖 目 錄... III 說 明... 1 詞 彙 解 釋... 2 前 言... 3 第 一 章 本 澳 的 醫 療 衛 生 概 況... 4 第 一 節 健 康 指 標... 4 第 二 節 醫 療 需 求... 5 第 三 節 醫 療 資 源

More information

企业代码类型汇总表 国家 ( 地区 ) 名称 国家 ( 地区 ) 英文全称 国家 ( 地区 ) 代码 阿富汗 Afghanistan AF 安道尔 Andorra AD 安道尔 Andorra AD 安哥拉 Angola AO 安圭拉 Anguilla AI 安提瓜和巴布达 Antigua and

企业代码类型汇总表 国家 ( 地区 ) 名称 国家 ( 地区 ) 英文全称 国家 ( 地区 ) 代码 阿富汗 Afghanistan AF 安道尔 Andorra AD 安道尔 Andorra AD 安哥拉 Angola AO 安圭拉 Anguilla AI 安提瓜和巴布达 Antigua and 企业代码类型汇总表 国家 ( 地区 ) 名称 国家 ( 地区 ) 英文全称 国家 ( 地区 ) 代码 阿富汗 Afghanistan AF 安道尔 Andorra AD 安道尔 Andorra AD 安哥拉 Angola AO 安圭拉 Anguilla AI 安提瓜和巴布达 Antigua and Barbuda AG 亚美尼亚 Armenia AM 亚美尼亚 Armenia AM 阿鲁巴 Aruba

More information

Microsoft Word - GT21L16S2W简要说明V3.7.doc

Microsoft Word - GT21L16S2W简要说明V3.7.doc GT21L16S2W 标 准 汉 字 字 库 芯 片 简 要 说 明 BRIEF 字 型 :11X12 点 阵 15X16 点 阵 字 符 集 :GB2312 兼 容 Unicode 内 码 排 置 方 式 : 横 置 横 排 总 线 接 口 :SPI 串 行 总 线 芯 片 形 式 :SO8 封 装 VER 3.7 2010-Q3 集 通 数 码 科 技 - 1 - 版 本 修 订 记 录 GT21L16S2W

More information

Enterprise code (attachment 40)

Enterprise code (attachment 40) 附件 40 Table of Enterprise Code 国家 ( 地区 ) 名称 国家 ( 地区 ) 英文全称 国家 ( 地区 ) 代码 the type of Enterprise Code 阿富汗 Afghanistan AF SIREN NUMBER 安道尔 Andorra AD SIREN NUMBER 安道尔 Andorra AD LEI 安哥拉 Angola AO NIF 安圭拉

More information

Microsoft Word - 2016.04.01一带一路

Microsoft Word - 2016.04.01一带一路 最 新 一 带 一 路 国 家 信 息 汇 编 目 录 1. 一 带 一 路 涉 及 之 国 家 和 地 区... 20 (1) 一 带 一 路 版 图... 20 (2) 一 带 一 路 涉 及 国 家 和 地 区 ( 截 止 2016.3.30, 共 66 个 ; 66+)... 21 1) 一 带 涉 及 国 家 和 地 区 (51 个 )... 22 2) 一 路 涉 及 国 家 和 地 区

More information

本 期 專 題 最 慘 的 是 堂 堂 部 長 竟 個 個 成 了 社 會 大 眾 的 陌 生 人! 根 據 台 灣 指 標 民 調 公 司 的 調 查, 社 會 上 表 一 依 據 群 我 倫 理 促 進 會 調 查 製 表 2013 年 2008 年 2006 年 2004 年 2002 年 2

本 期 專 題 最 慘 的 是 堂 堂 部 長 竟 個 個 成 了 社 會 大 眾 的 陌 生 人! 根 據 台 灣 指 標 民 調 公 司 的 調 查, 社 會 上 表 一 依 據 群 我 倫 理 促 進 會 調 查 製 表 2013 年 2008 年 2006 年 2004 年 2002 年 2 全 國 律 師 當 前 選 擇 準 內 閣 制 憲 改 的 困 難 與 機 遇 壹 政 府 能 力 崩 盤 台 灣 一 直 是 全 球 資 通 訊 IT 硬 體 製 造 大 國 挾 製 造 優 勢, 利 用 資 通 訊 技 術 改 善 社 會 與 經 濟 能 力 的 表 現 也 相 當 不 錯, 依 據 世 界 經 濟 論 壇 (WEF) 二 一 五 全 球 資 訊 科 技 報 告, 評 比 各 國

More information

Annex to WIPO Press Release PR/2009/583

Annex to WIPO Press Release PR/2009/583 第 5 页 附件 PCT 国际申请排名前 5 位的原属国 2004 2005 2006 2007 2008 2008 预测百分比 2008 增长率 美利坚合众国 43,350 46,803 50,94 54,086 53,52 32.7% -.0% 日本 20,264 24,869 27,033 27,744 28,744 7.5% 3.6% 德国 5,24 5,984 6,732 7,88 8,428.3%

More information

E-Renewal RENEWAL OF THE INTERNATIONAL REGISTRATION MM11(E) For use by the holder For use by the Office This renewal contains the following number of

E-Renewal RENEWAL OF THE INTERNATIONAL REGISTRATION MM11(E) For use by the holder For use by the Office This renewal contains the following number of E-Renewal RENEWAL OF THE INTERNATIONAL REGISTRATION MM11(E) For use by the holder For use by the Office This renewal contains the following number of continuation sheets: 0 Holder s reference: MP00021

More information

KORG DOM EUR EXP(AT88) Korean Non-government version. It s called Korean government version, since it s widely for the Korean official use. It s an ex

KORG DOM EUR EXP(AT88) Korean Non-government version. It s called Korean government version, since it s widely for the Korean official use. It s an ex APEX CHIPS REGION REFERENCE Region Code for LaserJet Chips 激光芯片区域代码说明 Abbreviation Regions 地区 WW World Wide 全球通用 WW(ex.JP) World Wide(ex.JP) 全球通用 ( 不包括日本 ) NA North America 北美版 US the United States 美国版

More information

MM4(E) DESIGNATION SUBSEQUENT TO THE INTERNATIONAL REGISTRATION For use by the holder This subsequent designation includes the following number of: co

MM4(E) DESIGNATION SUBSEQUENT TO THE INTERNATIONAL REGISTRATION For use by the holder This subsequent designation includes the following number of: co MM4(E) DESIGNATION SUBSEQUENT TO THE INTERNATIONAL REGISTRATION For use by the holder This subsequent designation includes the following number of: continuation sheets: 0 MM17 forms: Holder s reference:

More information

GT20L24F6Y

GT20L24F6Y GT32L24F0210 多国文字字库芯片 规格书 DATASHEET CODE PAGE 字符集 ISO8859 字符集 ASCII 字符集 LCM 字符集 UNICODE 多国字符集 字号 :5x7~24 点阵 排置方式 : 竖置横排及横置横排 总线接口 :SPI 串行总线 封装类型 :SOP8-A V1.1_I_B 2013-03 集通数码科技 - 1 - www.genitop.com 版本修订记录

More information

Microsoft Word - 중국어-2015-08-07

Microsoft Word - 중국어-2015-08-07 2016 年 春 季 研 究 生 院 ( 外 国 人 ) 招 生 简 章 高 丽 大 学 1 招 生 简 章 1. 申 请 资 格 (1) 申 请 资 格 分 为 A B 两 类 : A 类 : 申 请 人 及 其 父 母 均 持 有 外 国 ( 非 韩 国 ) 国 籍 注 : 拥 有 多 重 国 籍 的 韩 国 国 籍 者 不 能 申 请 外 国 人 招 生 B 类 : 申 请 人 在 外 国 (

More information

NTI 核材料安全指数 建立保障切实 责任明确 行动及时的安全框架 2012 年 1 月 十周年 建设一个更安全的世界 经济学人信息部 指数开发合作伙伴 经济学人

NTI 核材料安全指数 建立保障切实 责任明确 行动及时的安全框架 2012 年 1 月 十周年 建设一个更安全的世界 经济学人信息部 指数开发合作伙伴 经济学人 十周年 摘录 建设一个更安全的世界 2012 年 1 月 NTI 核材料 安全指数 建立保障切实 责任明确 行动及时的安全框架 指数开发合作伙伴 经济学人信息部 经济学人 NTI 核材料安全指数 建立保障切实 责任明确 行动及时的安全框架 2012 年 1 月 十周年 建设一个更安全的世界 经济学人信息部 指数开发合作伙伴 经济学人 执行概要 执行概要 NTI 核材料安全指数是评估全世界核材料安全状况的一个独特公共基准

More information

bp.com/statisticalreview #BPstats 1 2 3 4 5 # 6 () 150 120 160 140 120 90 100 80 60 60 40 30 20 0 85 90 95 00 05 10 15 0 2.5 47.3 7.6 3.0 55.0 8.1 9.1 12.5 6.4 3.5 58.9 10.1 14.0 11.3 16.3 7.4 7.5 19.4

More information

Microsoft Word - international.doc

Microsoft Word - international.doc 国际长途区号表 阿富汗 Afghanistan 93 23.00 0.00 阿拉斯加 Alaska(U.S.A) 1907 18.40 0.00 阿尔巴尼亚 Albania 355 20.70-7.00 阿尔及利亚 Algeria 213 27.60-8.00 安道尔 Andorra 376 20.70-8.00 安哥拉 Angola 244 27.60-7.00 安圭拉岛英 ) Anguilla

More information

Microsoft Word c.doc

Microsoft Word c.doc 联合国 A/60/463 大会 Distr.: General 16 November 2005 Chinese Original: English 第六十届会议 议程项目 97 全面彻底裁军第一委员会的报告 一. 导言 报告员 : 埃尔维纳 尤苏法伊女士 ( 阿尔巴尼亚 ) 1. 题为 : 全面彻底裁军 : (a) 核试验的通知 ; (b) 禁止倾弃放射性废料 ; (c) 裁减非战略核武器 ; (d)

More information

Microsoft Word - WDRfinal_SIMP_CHINESE Reviewed.doc

Microsoft Word - WDRfinal_SIMP_CHINESE Reviewed.doc - 1 - 世 界 发 展 报 告 公 平 与 发 展 概 述 1. 以 2000 年 同 一 天 出 生 的 两 个 南 非 儿 童 为 例 黑 人 女 孩 恩 塔 比 森 出 生 在 东 开 普 省 (Eastern Cape) 农 村 地 区 的 一 个 贫 穷 家 庭, 家 里 距 开 普 敦 大 约 700 公 里, 母 亲 没 有 接 受 过 正 规 教 育 白 人 男 孩 彼 得 出

More information

氟氯烃淘汰管理计划多年期协定数据库

氟氯烃淘汰管理计划多年期协定数据库 联合国 EP 联合国 环境规划署 Distr. GENERAL UNEP/OzL.Pro/ExCom/68/9 6 November 2012 CHINESE ORIGINAL: ENGLISH 执行蒙特利尔议定书多边基金执行委员会第六十八次会议 2012 年 12 月 3 日至 7 日, 蒙特利尔 氟氯烃淘汰管理计划多年期协定数据库 ( 第 63/61(e) 号决定 ) 执行蒙特利尔议定书多边基金执行委员会的会前文件不妨碍文件印发后执行委员会可能作出的任何决定

More information

WHO Library Cataloguing-in-Publication Data World health statistics Health status indicators. 2.World health. 3.Health services - statistics.

WHO Library Cataloguing-in-Publication Data World health statistics Health status indicators. 2.World health. 3.Health services - statistics. 2009 年 世界卫生统计 WHO Library Cataloguing-in-Publication Data World health statistics 2009. 1.Health status indicators. 2.World health. 3.Health services - statistics. 4.Mortality. 5.Morbidity. 6.Life expectancy.

More information

E F C D EXW FCA CFR CIF CPT CIP FAS FOB DAF D DES DEQ DDU DDP @ @ @ @ @ @ 567 00 27 78300.. Liberia) (Nigeria) Combined Certificate of Value and

More information

人 生 中 总 有 很 多 不 期 而 遇 的 礼 物 或 价 值 不 菲 或 精 巧 别 致 而 让 您 动 容 的 或 许, 恰 恰 是 手 中 的 这 段 旅 行 旅 行, 不 仅 让 我 们 看 到 世 界 更 让 我 们 抵 达 世 界 更 深 处 去 发 现 和 感 知 CAISSA G

人 生 中 总 有 很 多 不 期 而 遇 的 礼 物 或 价 值 不 菲 或 精 巧 别 致 而 让 您 动 容 的 或 许, 恰 恰 是 手 中 的 这 段 旅 行 旅 行, 不 仅 让 我 们 看 到 世 界 更 让 我 们 抵 达 世 界 更 深 处 去 发 现 和 感 知 CAISSA G 人 生 中 总 有 很 多 不 期 而 遇 的 礼 物 或 价 值 不 菲 或 精 巧 别 致 而 让 您 动 容 的 或 许, 恰 恰 是 手 中 的 这 段 旅 行 旅 行, 不 仅 让 我 们 看 到 世 界 更 让 我 们 抵 达 世 界 更 深 处 去 发 现 和 感 知 CAISSA GIFT CARD 那 些 独 一 无 二 的 风 景 它 们 无 法 被 预 知 却 永 远 被 存

More information

RW Focus Asia Whitepaper CH1029

RW Focus Asia Whitepaper CH1029 F CUSASIA 1 Robert Walters Focus Asia Whitepaper Matthew Bennett Robert Walters 2 03 04 06 08 10 13 13 14 3 4 5 Robert Walters Focus Asia Whitepaper 6 7 Robert Walters Focus Asia Whitepaper 8 9 Robert

More information

untitled

untitled 8 6 4 2 Dot-Com - 1982 1984 1986 1988 199 1992 1994 1996 1998 2 22 24 26 28 21 198 : 25M5 25M9 26M1 26M5 26M9 27M1 27M5 27M9 28M1 28M5 28M9 25 2 15 1 5 27 1 : 25M1 12 1 1.3: Corporate CEMBI composite 8

More information

untitled

untitled sales EXCEL ...- 2-1...- 2-2...- 2-3...- 2 -...- 3-1...- 3 -...- 3 -...- 3-2...- 4 -...- 4 -...- 4 -...- 5 -...- 5 -...- 5 -...- 5-3...- 6 -...- 6 -...- 6 -...- 7 -...- 7-4...- 7-5...- 8-6...- 8 - ""...-

More information

CO 2

CO 2 CO 2 1 2 3 Oil demand and supply growth Oil demand growth Annual change, Mb/d 2.5 Oil supply growth 2.0 2.0 1.5 1.0 Total growth 1.5 1.0 0.5 0.0 Total Global growth growth 0.5 0.0 10 year average -0.5

More information

B1--B12

B1--B12 TIMSS-R Ref. No. 98-0030/1---5-78/B2 A B C B-1 TIMSS-R Ref. No. 98-0030/1---5-78/B2 B-2 TIMSS-R Ref. No. 98-0030/1---5-78/B2 7 C 2.5 9 C 13 C 10 C 2.0 1.5 14 C 19 C 21 C 16 C 1.0 24 C X 0.5 29 C 0 X A

More information

20-20 Gazprom, FAA , IXP

20-20 Gazprom, FAA , IXP 20-20 IXP Teltronics 30 20-20 Gazprom, FAA 20-20 20-20, 3 20-20 IXP 20-20 IXP 256 Port 100 ADVANTAGE 20-20 IXP 256 10,000 (Non-blocking) ADVANTAGE Software key 256 - - - - - - - T1/E1 E&M Ground Start/Loop

More information

untitled

untitled 什 陸 1,500 冷 陸 年 裡 裡 留 裡 不 六 16 冷 不 冷 零 28 度 28 C 零 零度 更冷 度 89 C 冷 了 落 了 類都 不 羽 冷 了更 17 來 拉 樂 丹 更 歷 丹 數 來 裡了 裡 不 裡 量 糧 冷 不 裡 留 爐 裡 爐 了 念 柳 了 拉 18 1. 裡 2. 冷 錄 3. 冷 裡 19 4. 料 兩 說 什 數 不 5. 什 裡說 落 了 說 什 說 冷

More information

UNEP/CBD/COP/13/8/Add.1

UNEP/CBD/COP/13/8/Add.1 CBD Distr. GENERAL UNEP/CBD/COP/13/8/Add.1/Rev.1 24 November 2016 * CHINESE ORIGINAL: ENGLISH 生物多样性公约缔约方大会第十三届会议 2016 年 12 月 4 日至 17 日, 墨西哥坎昆临时议程 ** 项目 9 修订 / 更新和执行国家生物多样性战略和行动计划 ( 包括国家指标 ) 的最新进展情况 执行秘书的说明

More information

SOFO-DTP-AnnexesX

SOFO-DTP-AnnexesX 122 附件 1 缩略语 123 缩略语 AFWC 非洲林业及野生生物委员会 AIDS 艾滋病 APAFRI 亚太林业研究机构协会 ASEAN 东南亚国家联盟 CATIE 热带农业研究及高等教育中心 CBD 生物多样性公约 CDR 开发研究中心 ( 丹麦 ) CGIAR 国际农业研究蹉商小组 CICERO 国际气候与环境研究中心 CIFOR 国际林业研究中心 CITES 国际野生动植物濒危物种贸易公约

More information

不可思議、尋找食物讀本

不可思議、尋找食物讀本 不 Franz Hohler 了 狀 留 廊 亮 來 路 來 了 裡來 來 都 4 不 不 來 立 來 來 不 5 力 了 讀 來 落 來 了 來 力 了 立了 壘 了 了 來 鶴 林 了 來 了 裂 鶴 洞 說 來 來 洞 廊 6 不 不 來 廊都 不 鶴 亂 見 了 鶴 兩 兩 鶴 了 廊 不 溜 廊 說 溜 洞 鶴 說 裡了 不 7 什 了 什 了 洞 不 不 了 8 不 不 1. 不 什 了

More information

阿 拉 伯 聯 合 大 公 國 ( 杜 拜 ) 簽 證 不 需 代 訂 飯 店 (02) 台 北 簽 證 中 心 中 東 T:(02)2581-5256 F:(02)2563-5224 國 名 照 片 工 作 天 全 部 收 費 必 要 資 料 阿 拉 伯 聯 合 大 公 國 UNITED ARAB

阿 拉 伯 聯 合 大 公 國 ( 杜 拜 ) 簽 證 不 需 代 訂 飯 店 (02) 台 北 簽 證 中 心 中 東 T:(02)2581-5256 F:(02)2563-5224 國 名 照 片 工 作 天 全 部 收 費 必 要 資 料 阿 拉 伯 聯 合 大 公 國 UNITED ARAB 台 北 簽 証 中 心 104 台 北 市 中 山 區 長 安 東 路 一 段 16 號 12 樓 之 2 1. 下 列 所 有 資 料 將 隨 各 國 大 使 及 領 事 館 更 改 申 請 規 則 而 變 動 恕 不 另 行 通 知, 以 當 時 申 請 新 價 為 準 2. 若 簽 證 無 法 獲 准, 酌 收 郵 電 費 及 國 外 手 續 費 3. 下 列 工 作 天 數 不 包 括 郵

More information

48 赤道几内亚 Equatorial Guinea GQ 4 49 丹麦 Denmark 1 50 德国 Germany 1 51 东帝汶 East Timor 4 52 多哥 Republic of Togo 4 53 多米尼加 The Dominican Republic 3 54 多米尼克

48 赤道几内亚 Equatorial Guinea GQ 4 49 丹麦 Denmark 1 50 德国 Germany 1 51 东帝汶 East Timor 4 52 多哥 Republic of Togo 4 53 多米尼加 The Dominican Republic 3 54 多米尼克 国家风险分类表 COUNTRYNAME COUNTRYENNAME RISKTYPE 1 阿尔巴尼亚 Albania 4 2 阿尔及利亚 Algeria 4 3 阿富汗 Afghanistan 4 4 阿根廷 Argentina 1 5 阿联酋 United Arab Emirates 1 6 阿鲁巴岛 Aruba 1 7 阿曼 Oman 1 8 阿塞拜疆 Azerbaijan 3 9 埃及 Egypt

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

ITU

ITU 第 5 条 业务的频率划分 第 IV 节 频率划分表 7 000 khz-29.7 MHz 7 000-7 100 业余 5.140 5.141 5.141A 14 000-14 250 业余 18 068-18 168 业余 5.154 21 000-21 450 业余 24 890-24 990 业余 28-29.7 业余 5.138 下列频段 : 6 765-6 795 khz ( 中心频率为

More information

Microsoft Word - Inbound shipments to China instructions

Microsoft Word - Inbound shipments to China instructions Inbound shipments to China Consignee code example: Pls see below which SHP CNE Code should be use in each country. If customer have not in any code which listed, then use below. 9999 + Local official company

More information

RW Salary Survey 2015 - China A4

RW Salary Survey 2015 - China A4 2015 中国 2014年 中国政府实施从纯制造业和基础设施行业向高科 技行业的转型 加强专业服务和消费者领域发展 以出口 刺激经济增长 由于政府的投资政策重新定位 我们看到越来越多的研发 中心在国内成立 更多国际专业服务公司进入中国市场 奢侈品及零售品牌进驻苏州 杭州 南京和成都等二线城 市 带动了当地市场的招聘需求 纵观总体经济 经济普遍增长 就业市场前景乐观 雇员 与雇主信心增强 2014年前三季度GDP增长率达7.4%

More information

PowerPoint Presentation

PowerPoint Presentation 高通汉字 标准汉字库芯片 GT21/GT23 系列 国家信标委统一委托生产标准字库芯片的指定厂商 上海集通数码科技有限公司 www.genitop.com 2009 年 7 月 Page 1 公司简介 集通公司专注于中文信息处理技术领域, 包含汉字库芯片和汉字输入法 是国内外唯一的全系列中文信息产品的供应商 集通公司自 80 年代起至今, 具有 20 多年的专业技术积淀, 曾被评为 上海十大软件公司,

More information

产权组织2018年知识产权服务

产权组织2018年知识产权服务 附件 附件一 : 按开列的 PCT 国际申请 2017 年 2018 年概算 总计 243,511 253,000 总计 243,511 253,000 总计 243,511 253,000 阿尔巴尼亚 7 0 危地马拉 1 1 菲律宾 18 18 阿尔及利亚 12 17 几内亚 1 0 波兰 330 335 安道尔 5 7 圭亚那 0 1 葡萄牙 201 250 安提瓜和巴布达 57 96 匈牙利

More information

< A67EB3F82DA4A4A4E5AAA92DB3E62E706466>

< A67EB3F82DA4A4A4E5AAA92DB3E62E706466> 2010/10-2011/09 國 外 服 務 國外服務據點分布圖 亞洲 中東 非洲 拉丁美洲 印度 柬埔寨 阿富汗 馬利 莫三比克 多明尼加 斯里蘭卡 菲律賓 耶路薩冷/約旦 尼日 馬拉威 海地 緬甸 尼泊爾 河西岸/加薩 查德 盧安達 瓜地馬拉 印尼 東帝汶 巴基斯坦 獅子山共和國 肯亞 宏都拉斯 孟加拉 日本 約旦 迦納 衣索比亞 薩爾瓦多 烏干達 索馬利亞 尼加拉瓜

More information

研究院 RESEARCH 潘鑫磊 编辑 109 Atlas 人均 GNI 排名升幅最大的前三名是土库曼斯坦 ( 从 115 上升为 103) 阿曼 ( 从 57 上升为 46) 南苏丹( 从 187 上升为 178);PPP 人均 GNI 排名升幅最大的前三名是巴

研究院 RESEARCH 潘鑫磊 编辑 109 Atlas 人均 GNI 排名升幅最大的前三名是土库曼斯坦 ( 从 115 上升为 103) 阿曼 ( 从 57 上升为 46) 南苏丹( 从 187 上升为 178);PPP 人均 GNI 排名升幅最大的前三名是巴 108 RESEARCH 研究院中欧商业评论 2014 / 11 编者按 世界银行发布的 全球人均 GNI(Gross National Income, 国民总收入 ) 排名榜, 素有 天下第一排名榜 之称 本刊联合中欧国际工商学院的研究人员, 于 2011 年开始发布 中欧 全球经济发展排名榜, 旨在对前者的不足之处进行完善, 以便更好地认清国情 呼唤改革 推动研究 新年度的中欧 全球经济发展排名榜

More information

中南美洲暨加勒比海地區 011年合作計畫暨國家分布概況 駐外技術 醫療團計畫 1 貝里斯 技術協助 人道援助計畫 厄瓜多 投融資計畫 3 薩爾瓦多 人力資源援助計畫 4 瓜地馬拉 不含在臺辦理之研習班及獎學金計畫 5 宏都拉斯 行動醫療團 6 尼加拉瓜 海外服務工作團 7 巴拿馬 外交替代役 8 巴

中南美洲暨加勒比海地區 011年合作計畫暨國家分布概況 駐外技術 醫療團計畫 1 貝里斯 技術協助 人道援助計畫 厄瓜多 投融資計畫 3 薩爾瓦多 人力資源援助計畫 4 瓜地馬拉 不含在臺辦理之研習班及獎學金計畫 5 宏都拉斯 行動醫療團 6 尼加拉瓜 海外服務工作團 7 巴拿馬 外交替代役 8 巴 011 中南美洲暨加勒比海地區 011年合作計畫暨國家分布概況 駐外技術 醫療團計畫 1 貝里斯 技術協助 人道援助計畫 厄瓜多 投融資計畫 3 薩爾瓦多 人力資源援助計畫 4 瓜地馬拉 不含在臺辦理之研習班及獎學金計畫 5 宏都拉斯 行動醫療團 6 尼加拉瓜 海外服務工作團 7 巴拿馬 外交替代役 8 巴拉圭 9 多明尼加 10 海地 11 聖克里斯多福 及尼維斯 1 聖露西亞 13 聖文森 非洲地區

More information

Automation Studio 基 础 TM210 前 提 培 训 模 块 : 软 件 : 硬 件 : TM0 贝 加 莱 (B&R) 公 司 介 绍 TM 贝 加 莱 (B&R) 产 品 系 列 Automation Studio. 或 更 高 版 本 Automation Runtime.0 或 更 高 版 本 不 需 要 硬 件 目 录 介 绍. 目 的 安 装. 安 装 步 骤. 注

More information

bs_abwasserbehandlung_6-14_zn.indd

bs_abwasserbehandlung_6-14_zn.indd 2 www.gemu-group.com 3 GEMÜcpos GEMÜ 4 www.gemu-group.com 5 6 www.gemu-group.com 7 GEMÜ 8 www.gemu-group.com 9 GEMÜ 10 www.gemu-group.com 11 12 www.gemu-group.com 13 14 www.gemu-group.com 15 GEMÜ 16 www.gemu-group.com

More information

5.7 41.1 72.7 28.6 Euromonitor Euromonitor 48 250 AAB 108

5.7 41.1 72.7 28.6 Euromonitor Euromonitor 48 250 AAB 108 Euromonitor OEM OEM Euromonitor Euromonitor 22 42.6% 19.2% 29.1% 88 Euromonitor 148.4 241.1 240.2 112.4 107 5.7 41.1 72.7 28.6 Euromonitor Euromonitor 48 250 AAB 108 OEM OEM 14 OEM 86 48 OEM OEM OEM OEM

More information

ER3303-1_Datasheet

ER3303-1_Datasheet EastRising Font Chip Datasheet ER3300-3 buydisplay.com ER3303-1 DATASHEET Font size: 11X12dots 15X16dots 24X24dots Chinese character set: G312 G2345 BIG5 Compatible with Unicode Data arrangement: vertical

More information

:,,,, ( ), ( ),,,, :,, ;,,,,,,,,,, ( Yang and Zhou,1999),,,,,,,,,,,,, Aghion Howitt (1992),,,,,,,,,,,, ;,,,, Galor and Zeira (1993) Fishman and Simhon

:,,,, ( ), ( ),,,, :,, ;,,,,,,,,,, ( Yang and Zhou,1999),,,,,,,,,,,,, Aghion Howitt (1992),,,,,,,,,,,, ;,,,, Galor and Zeira (1993) Fishman and Simhon 3 :,,,,, ( ) ( ), d,, :,,,,, :,, ( Yang, 1999, Wu and Perloff, 2004, Benjamin et al, 2004, Wan,Lu and Chen, 2006), (,2003),,,, Benabou (1996) : ( ),,, (Croix and Doepke, 2004) 3,, :200433, :ling. shen

More information

汉语水平考试管理手册

汉语水平考试管理手册 汉 语 水 平 考 试 主 考 简 明 培 训 手 册 (2010 年 HSK 考 试 适 用 ) 汉 考 国 际 版 权 所 有 2010 Copyright 2010, Chinese Testing International ( 内 部 资 料 ) 目 录 一 主 考 工 作 流 程 图... 1 二 主 考 人 员 的 要 求 与 岗 位 职 责... 1 1. 主 考 人 员 基 本 条

More information

职员的构成和结构

职员的构成和结构 国际劳工局 理事会 第 313 届会议,2012 年 3 月 15-30 日, 日内瓦计划 财务和行政管理部分 GB.313/PFA/INF/4 PFA 供参考 职员的构成和结构 文件的目的概要 : 本文件提供了劳工局职员构成和结构的有关情况 作者单位 : 人力资源发展司 (HRD) 相关文件 : 无 这份理事会文件印刷数量有限, 目的是最大限度地减少国际劳工组织的活动和程序对环境造成的影响, 为气候中和做贡献并提高效率

More information

全球能源互联网发展指数 218 全球能源互联网发展合作组织 二〇一八年三月

全球能源互联网发展指数 218 全球能源互联网发展合作组织 二〇一八年三月 全球能源互联网 发展指数 218 全球能源互联网发展合作组织 二〇一八年三月 全球能源互联网发展指数 218 全球能源互联网发展合作组织 二〇一八年三月 前言 当前, 资源紧缺 气候变化 环境污染 无电众多是制约全球可持续发展的严峻挑战 应对挑战, 关键是顺应能源系统清洁化 电气化 网络化 智能化的发展趋势, 加快推动世界能源转型, 构建清洁主导 电为中心 互联互通 共建共享的现代能源体系 全球能源互联网是以特高压电网为骨干网架

More information

国际电联《操作公报》第1066期附件

国际电联《操作公报》第1066期附件 国际电联操作公报附件 第 1125 期 1.VI.2017 国际电信联盟 ITU-T 国际电联电信标准化部门 ITU-T E.218 建议书 (05/2004) 补遗 地面集群无线电移动国家代码列表 ( 截至 2017 年 6 月 1 日 ) 2017 年, 日内瓦 地面集群无线电移动国家代码列表 电信标准化局说明 1. 此列表为根据 ITU-T E.218 建议书编制的地面集群无线电移动国家代码列表

More information

Users Manual NX-500

Users Manual NX-500 STAR NX-500 STAR NX-500 STAR STAR (010) 62501499 62501772 (010) 62501116 http//www.starhkg.com.hk/starchi Star NX-500... 1... 3 1-1... 3 1-2... 4 1-3... 5 1-4... 6 1-5... 9... 12 2-1... 12 1... 12 2...

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

目录 一 年中国陶瓷制建筑用砖出口趋势分析 年中国陶瓷制建筑用砖出口量走势 年中国陶瓷制建筑用砖出口额走势 年中国陶瓷制建筑用砖出口量月度走势

目录 一 年中国陶瓷制建筑用砖出口趋势分析 年中国陶瓷制建筑用砖出口量走势 年中国陶瓷制建筑用砖出口额走势 年中国陶瓷制建筑用砖出口量月度走势 制作单位 : 中国制造网行业分析专家组 (IAR Team) 版权所有 : 焦点科技股份有限公司 目录 一. 2010-2012 年中国陶瓷制建筑用砖出口趋势分析...5 1.1. 2010-2012 年中国陶瓷制建筑用砖出口量走势...5 1.2. 2010-2012 年中国陶瓷制建筑用砖出口额走势...6 1.3. 2010-2012 年中国陶瓷制建筑用砖出口量月度走势...6 1.4. 2010-2012

More information

~ 05 55% / 4 04 IMF Regional Economic Outlook: Middle East and Central Asia January 05 p.. BP

~ 05 55% / 4 04 IMF Regional Economic Outlook: Middle East and Central Asia January 05 p.. BP 05 Nov. 05 6 Arab World Studies No. 6 04 04 0 05 05 ~ 06 00007 67-56 05 06-0045 - D85 A 04 05 05 7 http / /forex. hexun. com / 05-0 - 7 /744678. html 45 05 6 04 0 04 0 04 6 04 9 ~ 05 55% 04 05 0 04 60

More information

前 言 我 很 荣 幸 为 您 呈 献 华 德 士 首 期 大 中 华 区 财 务 总 监 报 告 报 告 旨 在 帮 助 财 务 总 监 深 入 了 解 人 才 管 理 和 财 务 部 门 之 间 的 潜 在 联 系 这 本 名 为 利 用 人 才 管 理 策 略 提 高 财 务 部 门 绩 效

前 言 我 很 荣 幸 为 您 呈 献 华 德 士 首 期 大 中 华 区 财 务 总 监 报 告 报 告 旨 在 帮 助 财 务 总 监 深 入 了 解 人 才 管 理 和 财 务 部 门 之 间 的 潜 在 联 系 这 本 名 为 利 用 人 才 管 理 策 略 提 高 财 务 部 门 绩 效 华 德 士 大 中 华 区 财 务 总 监 报 告 利 用 人 才 管 理 策 略 提 高 财 务 部 门 绩 效 1 前 言 我 很 荣 幸 为 您 呈 献 华 德 士 首 期 大 中 华 区 财 务 总 监 报 告 报 告 旨 在 帮 助 财 务 总 监 深 入 了 解 人 才 管 理 和 财 务 部 门 之 间 的 潜 在 联 系 这 本 名 为 利 用 人 才 管 理 策 略 提 高 财 务

More information

顺丰国际小包价目表 ( 香港 ) SF E-Parcel Rates (Hong Kong) 生效日期 :2016 年 12 月 26 日 Effective Date: 26th December 2016 分区 No. Country 国家名称 代码 Code 首重 * First Charge

顺丰国际小包价目表 ( 香港 ) SF E-Parcel Rates (Hong Kong) 生效日期 :2016 年 12 月 26 日 Effective Date: 26th December 2016 分区 No. Country 国家名称 代码 Code 首重 * First Charge 顺丰国际小包价目表 ( 香港 ) SF E-Parcel Rates (Hong Kong) 生效日期 :2016 年 12 月 26 日 Effective Date: 26th December 2016 SF E-Parcel Registered 挂号费 / 票 Registration 港币 HKD SF E-Parcel Non-Registered 处理费 / 票 Handling 1

More information

<4D F736F F D20D6D0CEC4B9FABCCACDB6D7CAD6B8CAFDB1A8B8E6A3A D A3A9D0DEB8C4B0E E616C2E646F6378>

<4D F736F F D20D6D0CEC4B9FABCCACDB6D7CAD6B8CAFDB1A8B8E6A3A D A3A9D0DEB8C4B0E E616C2E646F6378> 5-14 武汉大学国际投资研究中心 国际投资指数报告 5-14 让国际投资更简单 简介新世纪以来, 国际投资的深度和广度不断延伸, 复杂性也在增强, 如何在变幻莫测的国际形势演变中做出科学严谨的国际投资决策成为企业家 政府以及学者等研究的重要课题, 国际投资指数报告 5-14 即是在这种背景下发布的 该报告由武汉大学国际投资研究中心首次发布, 通过对潜在影响国际投资状况与市场趋势的变量进行建模分析

More information

挂号费 / 票 Registration 港币 HKD SF E-Parcel Non-Registered 处理费 / 票 Handling Central America 中美洲 Zone2 Rest of Africa 非洲其他地区 -

挂号费 / 票 Registration 港币 HKD SF E-Parcel Non-Registered 处理费 / 票 Handling Central America 中美洲 Zone2 Rest of Africa 非洲其他地区 - 顺丰国际小包价目表 ( 香港 ) SF E-Parcel Rates (Hong Kong) 生效日期 :2016 年 9 月 1 日 Effective Date: 1st September 2016 SF E-Parcel Registered 挂号费 / 票 Registration 港币 HKD SF E-Parcel Non-Registered 处理费 / 票 Handling 1 United

More information

挂号费 / 票 Registration 处理费 / 票 Handling 42 Spain 西班牙 ES Sweden 瑞典 SE Switzerland 瑞士 CH Thailand 泰国 TH 12

挂号费 / 票 Registration 处理费 / 票 Handling 42 Spain 西班牙 ES Sweden 瑞典 SE Switzerland 瑞士 CH Thailand 泰国 TH 12 顺丰国际小包价目表 ( 香港 ) SF EParcel Rates (Hong Kong) 生效日期 :2018 年 10 月 1 日 Effective Date: 1st October 2018 SF EParcel Registered Freight Charges / KG 挂号费 / 票 Registration 港幣 HKD SF EParcel NonRegistered Freight

More information

1. SHANGHAI,PRC 中国上海 1.1. ALL Country/Territory nationals do always require Visa when entry People Republic of China except below listed: 所有国家及海外地区 (

1. SHANGHAI,PRC 中国上海 1.1. ALL Country/Territory nationals do always require Visa when entry People Republic of China except below listed: 所有国家及海外地区 ( 1. SHANGHAI,PRC 中国上海 1.1. ALL Country/Territory nationals do always require Visa when entry People Republic of China except below listed: 所有国家及海外地区 ( 以下地区除外 ) 必须申请和持有签证进入中华人民共和国 Hong Kong Special Administration

More information

Education for All by 2015: will we make it? EFA global monitoring report, 2008; summary; 2008

Education for All by 2015: will we make it? EFA global monitoring report, 2008; summary; 2008 2 2 0 0 8 Nicholas Burnett Nicole Bella, Aaron Benavot, Mariela Buonomo, Fadila Caillaud, Vittoria Cavicchioni, Alison Clayson, Catherine Ginisty, Cynthia Guttman, Anna Haas, Keith Hinchliffe, Anaïs Loizillon,

More information

Users Manual NX-750

Users Manual NX-750 STAR NX-750 STAR NX-750STAR STAR (010) 62501499 62501772 (010) 62501116 http//www.starhkg.com.hk/starchi Star NX-750... 1... 3 1-1...3 1-2...4 1-3...5 1-4...6 1...6 2...7 3...7 1-5...9 1...9 2...10 3...11...

More information

Visa free, visa on arrival and electronic authorisation list for British National (Overseas)

Visa free, visa on arrival and electronic authorisation list for British National (Overseas) Visa-free, visa on arrival and electronic authorisation list for British National (Overseas) 英 國 國 民 ( 海 外 ) 免 簽 證 落 地 簽 證 及 電 子 簽 證 列 表 AFRICA 非 洲 00 Arab Republic of Egypt 2 2 埃 及 阿 拉 伯 共 和 國 002 Kingdom

More information

additional countries into the 2017 Index: Seychelles (60), Vanuatu (43), Swaziland (39), and Equatorial Guinea (17). 从全球范围来看, 自 2012 年以来大多数国家和地区的 CPI

additional countries into the 2017 Index: Seychelles (60), Vanuatu (43), Swaziland (39), and Equatorial Guinea (17). 从全球范围来看, 自 2012 年以来大多数国家和地区的 CPI Transparency International Releases 2017 Corruption Perceptions Index 透明国际发布 2017 年全球清廉指数排名 China s and Slightly Improved, But the Country Continues To Be Perceived as a Country of Significant Corruption

More information

PowerPoint 簡報

PowerPoint 簡報 GE1712 能 源 核 電 與 輻 射 核 能 發 電 的 特 性 GE1712_Fall_2007 Lecture3 1 核 能 發 電 的 特 性 : - 高 建 廠 成 本, 低 燃 料 成 本 核 能 發 電 成 本 較 穩 定 - 燃 料 體 積 小, 運 儲 方 便 - 能 源 進 口 國 家 將 核 能 發 電 視 為 準 自 產 能 源 - 建 廠 成 本 高 昂, 電 力 公 司

More information

STRATEGY FOR OUTBOUND M&A [YOUR PRESENTATION TITLE IN CHINESE] 对外并购战略 【您演讲的中文标题】

STRATEGY FOR OUTBOUND M&A [YOUR PRESENTATION TITLE IN CHINESE] 对外并购战略 【您演讲的中文标题】 ACQUISITIONS, DISPOSALS AND JOINT VENTURES IN AFRICA: SEIZING THE OPPORTUNITIES AND MANAGING THE RISKS 在非洲进行的收购, 出售及合营项目 : 把握机会及管理风险 Rebecca Major, Partner 合伙人, Rebecca.Major@hsf.com David Clinch 郭德偉,

More information

Number Tiles (Continued) G4_M13 Page 2

Number Tiles (Continued) G4_M13 Page 2 Number Tiles Instructions: Questions 1, and 2 are about number tiles. 43 44 0 9 G4_M13 Page 1 Number Tiles (Continued) 1 20 20 20 1 4 5 15 4 + 19 20 19 G4_M13 Page 2 Number Tiles (Continued) 2 7 9 1 3

More information

备注 : Remarks: 1. 以上价格适用于上门收件或寄件人到指定的顺丰服务中心 / 顺丰站自寄的快件 The above rates are applicable to all shipments dropped off at designated S.F. Service Centers,

备注 : Remarks: 1. 以上价格适用于上门收件或寄件人到指定的顺丰服务中心 / 顺丰站自寄的快件 The above rates are applicable to all shipments dropped off at designated S.F. Service Centers, 顺丰国际小包价目表 ( 香港 ) SF E-Parcel Rates (Hong Kong) 生效日期 :2016 年 7 月 1 日 Effective Date: 1st July, 2016 首重 * First Chargeable 挂号服务 SF E-Parcel Registered 续重 * Additional 挂号费 / 票 Registration Fee/Shipment 首重

More information