MAX6970 DS.C

Size: px
Start display at page:

Download "MAX6970 DS.C"

Transcription

1 ; Rev 0; /05 8 端口 36V 恒流 LE 驱动器 概述 串行接口 LE 驱动器提供 8 个开漏极 恒流吸收 额定 36V LE 驱动器输出 该器件采用 3V 至 5.5V 电源供电 电源和 LE 电源能以任意顺序上电 所有恒流输出均由一个外部电阻设置, 每路最大 55mA 采用 25Mbps 工业标准 4 线串口进行控制 采用工业标准的 移位寄存器加锁存器形式的串口控制 数据通过数据输入 IN 和时钟输入 CLK 移入驱动器的 8 位移位寄存器 8 个时钟周期后, 输入数据出现在输出 OUT 上, 此工作模式可实现多个 级联 锁存使能输入 LE 将移位寄存器的 8 位数据装入 8 位输出锁存器, 以决定各个 LE 的开关状态 输出使能输入 OE 控制所有 8 个输出的开关状态, 其快速响应特性适合作为控制 LE 亮度的 PWM 输入 对于需要 LE 故障检测功能的应用, 请参考 MAX6981 的数据资料 此器件能自动检测 LE 开路故障 对于需要看门狗定时器的安全应用, 可以参考 MAX6980 的数据资料 此器件具有失效保护功能, 当串口中断传输超过 1s 时, 该功能将关断所有显示输出 是 款移位寄存器加锁存器形式的 LE 驱动器系列中的一款 该系列包括 8 端口和 16 端口两种类型, 具有额定 5.5V 或 36V LE 输出, 部分型号具有 LE 开路检测和看门狗功能 所有型号均由 3V 至 5.5V 电源供电, 工作在 -40 C 至 +125 C 温度范围 应用 信号灯 Marquee 显示器秩序管理灯交通灯游戏功能建筑照明系统 特性 25Mbps 工业标准 4 线 5V 串行接口 3V 至 5.5V 逻辑电源 8 个恒流 额定 36V LE 输出 每路输出最大 55mA 连续电流 通过一个电阻设置输出电流 输出之间具有 3% 的电流匹配 IC 之间具有 6% 的电流匹配 -40 C 至 +125 C 温度范围 定购信息 PART TOP VIEW GN 1 16 V+ IN CLK LE OUT0 TEMP RANGE PIN- PACKAGE 典型应用电路与选型指南在数据资料的最后给出 引脚配置 15 SET 14 OUT 13 OE 12 OUT7 PKG COE AUE -40 C to +125 C 16 TSSOP-EP* U16E-3 APE -40 C to +125 C 16 PIP A16-2 AAE -40 C to +125 C 16 SSOP P16-1 * EP = 裸焊盘 OUT OUT6 OUT2 7 OUT5 OUT3 8 9 OUT4 TSSOP/PIP/SSOP Maxim Integrated Products 1 本文是 Maxim 正式英文资料的译文,Maxim 不对翻译中存在的差异或由此产生的错误负责 请注意译文中可能存在文字组织或翻译错误, 如需确认任何词语的准确性, 请参考 Maxim 提供的英文版资料 索取免费样品和最新版的数据资料, 请访问 Maxim 的主页 :

2 ABSOLUTE MAXIMUM RATINGS (Voltage with respect to GN.) V V to +6V OUT_ V to +40V IN, CLK, LE, OE, SET V to (V V) OUT Current...±mA OUT_ Sink Current...60mA Total GN Current...480mA Continuous Power issipation (T A = +70 C) 16-Pin TSSOP-EP (derate 21.3mW/ C above +70 C) mW 16-Pin PIP (derate.5mw/ C above +70 C)...842mW 16-Pin SSOP (derate 7.1mW/ C above +70 C)...571mW Operating Temperature Range C to +125 C Junction Temperature C Storage Temperature Range C to +150 C Lead Temperature (soldering, s) C Stresses beyond those listed under Absolute Maximum Ratings may cause permanent damage to the device. These are stress ratings only, and functional operation of the device at these or any other conditions beyond those indicated in the operational sections of the specifications is not implied. Exposure to absolute maximum rating conditions for extended periods may affect device reliability. ELECTRICAL CHARACTERISTICS (Typical Operating Circuit, V+ = 3V to 5.5V, T A = T MIN to T MAX, unless otherwise noted. Typical values are at V+ = 5V,.) (Note 1) PARAMETER SYMBOL CONITIONS MIN TYP MAX UNITS Operating Supply Voltage V V Output Voltage V OUT 36 V Standby Current (Interface Idle, All Output Ports High Impedance, R SET = 360Ω) Standby Current (Interface Running, All Output Ports High Impedance, R SET = 360Ω) Supply Current (Interface Idle, All Output Ports Active Low, R SET = 360Ω) Input High Voltage IN, CLK, LE, OE Input Low Voltage IN, CLK, LE, OE Hysteresis Voltage IN, CLK, LE, OE Input Leakage Current IN, CLK, LE, OE I+ I+ I+ All logic inputs at V+ or GN, OUT unloaded f CLK = 5MHz, OE = V+, IN and LE = V+ or GN, OUT unloaded All logic inputs at V+ or GN, OUT unloaded ma ma ma V IH 0.7 x V+ V V IL 0.3 x V+ V V I 0.8 V I IH, I IL µa Pullup Resistor to V+, OE R OE V+ = 5.5V MΩ Pullup Resistor to GN, LE R LE V+ = 5.5V MΩ Output High Voltage OUT V OH I SOURCE = 4mA V V V Output Low Voltage V OL I SINK = 4mA 0.5 V Output Current OUT_ I OUT V+ = 3.0V to 5.5V, V OUT = 0.8V to 2.5V, R SET = 360Ω ma Output Leakage Current OUT_ I LEAK OE = V+ 1 µa 2

3 5V TIMING CHARACTERISTICS (Typical Operating Circuit, V+ = 4.5V to 5.5V, T A = T MIN to T MAX, unless otherwise noted.) (Notes 1, 2) PARAMETER SYMBOL CONITIONS MIN TYP MAX UNITS CLK Clock Period t CP 40 ns CLK Pulse-Width High t CH 19 ns CLK Pulse-Width Low t CL 19 ns IN Setup Time t S 4 ns IN Hold Time t H 8 ns OUT Propagation elay t O ns OUT Rise and Fall Time t R, t F COUT = pf, 20% to 80% ns LE Pulse-Width High t LW 20 ns LE Setup Time t LS 15 ns LE Rising to OUT_ Rising elay t LRR (Note 3) 0 ns LE Rising to OUT_ Falling elay t LRF (Note 3) 280 ns CLK Rising to OUT_ Rising elay t CRR (Note 3) 0 ns CLK Rising to OUT_ Falling elay t CRF (Note 3) 3 ns OE Rising to OUT_ Rising elay t OEH (Note 3) 0 ns OE Falling to OUT_ Falling elay t OEL (Note 3) 300 ns LE Output OUT_ Turn-On Fall Time t f 80% to 20% (Note 3) 200 ns LE Output OUT_ Turn-Off Rise Time t r 20% to 80% (Note 3) 120 ns 3

4 3.3V TIMING CHARACTERISTICS (Typical Operating Circuit, V+ = 3V to 5.5V, T A = T MIN to T MAX, unless otherwise noted.) (Notes 1, 2) PARAMETER SYMBOL CONITIONS MIN TYP MAX UNITS CLK Clock Period t CP 52 ns CLK Pulse-Width High t CH 24 ns CLK Pulse-Width Low t CL 24 ns IN Setup Time t S 4 ns IN Hold Time t H 8 ns OUT Propagation elay t O ns OUT Rise and Fall Time t R, t F C OUT = pf, 20% to 80% 12 ns LE Pulse-Width High t LW 20 ns LE Setup Time t LS 15 ns LE Rising to OUT_ Rising elay t LRR (Note 3) 140 ns LE Rising to OUT_ Falling elay t LRF (Note 3) 3 ns CLK Rising to OUT_ Rising elay t CRR (Note 3) 140 ns CLK Rising to OUT_ Falling elay t CRF (Note 3) 340 ns OE Rising to OUT_ Rising elay t OEH (Note 3) 140 ns OE Falling to OUT_ Falling elay t OEL (Note 3) 330 ns LE Output OUT_ Turn-On Fall Time LE Output OUT_ Turn-Off Rise Time t f 80% to 20% (Note 3) 250 ns t r 20% to 80% (Note 3) 150 ns Note 1: All parameters are tested at. Specifications over temperature are guaranteed by design. Note 2: See Figure 3. Note 3: A 65Ω pullup resistor is connected from OUT_ to 5.5V. 典型工作特性 (, unless otherwise noted.) SUPPLY CURRENT vs. SUPPLY VOLTAGE (INTERFACE ILE, ALL OUTPUTS OFF, R SET = 720Ω) 2.3 SUPPLY CURRENT (ma) SUPPLY VOLTAGE (V) toc01 SUPPLY CURRENT vs. SUPPLY VOLTAGE (INTERFACE ILE, ALL OUTPUTS OFF, R SET = 360Ω) 4.2 SUPPLY CURRENT (ma) SUPPLY VOLTAGE (V) toc02 SUPPLY CURRENT vs. SUPPLY VOLTAGE (INTERFACE ILE, ALL OUTPUTS ON, R SET = 720Ω) 20 SUPPLY CURRENT (ma) SUPPLY VOLTAGE (V) toc03 4

5 典型工作特性 ( 续 ) (, unless otherwise noted.) SUPPLY CURRENT vs. SUPPLY VOLTAGE (INTERFACE ILE, ALL OUTPUTS ON, R SET = 360Ω) 25 SUPPLY CURRENT (ma) toc04 PORT OUTPUT CURRENT vs. PORT OUTPUT VOLTAGE (R SET = 720Ω, V+ = 3.3V) T 20 A = -40 C 15 T A = +125 C 5 toc05 PORT OUTPUT CURRENT vs. PORT OUTPUT VOLTAGE (R SET = 360Ω, V+ = 3.3V) toc SUPPLY VOLTAGE (V) PORT OUTPUT CURRENT vs. PORT OUTPUT VOLTAGE (R SET = 720Ω, V+ = 5.0V) 30 toc PORT OUTPUT VOLTAGE (V) PORT OUTPUT CURRENT vs. PORT OUTPUT VOLTAGE (R SET = 360Ω, V+ = 5.0V) 60 toc PORT OUTPUT VOLTAGE (V) PORT OUTPUT CURRENT vs. SUPPLY VOLTAGE (R SET = 720Ω, V OUT = 2V) 26.0 toc PORT OUTPUT VOLTAGE (V) PORT OUTPUT VOLTAGE (V) SUPPLY VOLTAGE (V) PORT OUTPUT CURRENT vs. SUPPLY VOLTAGE (R SET = 360Ω, V OUT = 2V) toc PORT OUTPUT CURRENT vs. R SET RESISTANCE (V+ = 5.0V) toc SUPPLY VOLTAGE (V) ,000 R SET RESISTANCE (Ω) 5

6 引脚说明 引脚 名称 功能 1 GN 地 2 IN 串行数据输入 数据在 CLK 信号的上升沿装入内部 8 位移位寄存器 3 CLK 串行时钟输入 数据在 CLK 信号的上升沿装入内部 8 位移位寄存器 4 LE 装载使能输入 当 LE 为高电平时, 数据直接由内部移位寄存器装入输出锁存器 数据在 LE 的下降沿锁存到输出锁存器, 并在 LE 为低电平时保持该数据 5 12 OUT0 OUT7 LE 驱动器输出 OUT0 至 OUT7 为开漏极 恒流吸收 额定 36V 输出 13 OE 输出使能输入 输入高电平时将 OUT0 至 OUT7 强制为高阻态, 而不改变输出锁存器的内容 为低电平时使能输出 OUT0 至 OUT7, 反映输出锁存器的状态 14 OUT 串行数据输出 数据在 CLK 信号的上升沿由 8 位内部移位寄存器同步输出至 OUT 15 SET LE 电流设定 通过连接在 SET 至 GN 之间的电阻 (R SET ) 来设定最大 LE 电流 16 V+ 正电源电压 使用 0.1µF 陶瓷电容将 V+ 旁路至 GN EP (TSSOP) 裸露焊盘 将 EP 接地可提高散热性能, 但不是必须接地 详细说明 LE 驱动器包括 4 线串口, 可驱动八个恒流吸收 开漏极输出端口 该输出可在静态或多片级联应用中驱动 LE ( 图 1) 在芯片允许的电源电压变化范围内 (5V ±%, 3V 至 5.5V), 以及驱动器输出压降的实际范围内 (0.8V 至 2.5V), 都可保证恒流输出的电流精度 驱动器使用电流检测反馈电路 ( 而不是简单的电流镜 ), 可在整个允许的输出电压范围内保证极小的电流变化 ( 见典型工作特性 ) 4 线串口包括一个 8 位移位寄存器和一个 8 位透明传输锁存器 移位寄存器通过时钟输入 CLK 和数据输入 IN 写入数据, 由数据输出 OUT 移出数据 数据输出支持多个驱动器级联协同工作 8 位移位寄存器的内容通过锁存使能输入 LE 装入透明传输锁存器 LE 为高电平时, 锁存器与移位寄存器输出透明连接, 并在 LE 的下降沿锁存当前状态 每个驱动器输出均为开漏极 恒流吸收, 应连接至单个 LE 或者一串 LE 的阴极 LE 的阳极连接至最高 36V 的电源, 该电源与 的电源 V+ 无关 每路恒流输出最大为 55mA, 所有八路恒流输出均通过一个外部电阻 R SET 设置 4 线串口 采用 4 线串口, 使用 4 个输入 (IN CLK LE 和 OE) 和 1 个数据输出 (OUT) 通过该接口向 写入显示数据 串口数据字长为 8 位, 即 0 7 见图 2 和图 3 五个接口引脚的功能如下所述 IN 是串行数据输入, 在 CLK 信号的上升沿进行采样时必须保持稳定 数据移入时, 首先移入 MSB 即首先同步输入数据位 7, 然后依次输入其它 7 位数据, 直到 LSB 0 位结束 CLK 是串行时钟输入, 在其上升沿将数据通过 IN 移入 的 8 位移位寄存器 LE 是 锁存器的装载输入 LE 为高电平时 ( 锁存器透明传输 ), 数据由 的 8 位移位寄存器传输至 8 位锁存器, 并在 LE 下降沿锁存数据 ( 图 2) 第四个输入为输出驱动器提供使能控制 OE 为高电平时强制输出 OUT0 至 OUT7 为高阻态, 并且不会改变输出锁存器的内容, 为低电平时则使能输出 OUT0 至 OUT7, 以反映输出锁存器的状态 OE 独立于串口工作 无论 OE 为何状态, 数据都可移入串行移位寄存器并锁存 OUT 是串行数据输出, 在 CLK 的上升沿将数据从 的 8 位移位寄存器移出 IN 输入端的数据移入移位寄存器, 经过八个时钟周期后出现在 OUT 端 见图 2 6

7 CLK IN 0 SERIAL-TO-PARALLEL SHIFT REGISTER 7 OUT LE 0 LATCHES 7 POWER-ON RESET V+ OE V+ 0 CONSTANT-CURRENT SINKS 7 CURRENT REFERENCE R SET OUT0 OUT1 OUT2 OUT5 OUT6 OUT7 GN GN 图 1. 原理框图 LE t LW t CL t CH t CP t LS CLK t S t H IN t O OUT 7 OE t OEW OUT_ t OEL 80% 20% t OEH. t f t r 图 2. 4 线串口时序图 7

8 LE t LRF OUT_ LE t LRR OUT_ CLK t CRF OUT_ CLK t CRR OUT_ 图 3. LE 和 CLK 输入至 OUT_ 输出的时序图 应用信息 N = 同时驱动 LE 的 输出端口数 ( 最大值为 8) V OUT = 驱动负载 LE 时端口的输出电压 I OUT = 由 R SET 设定的 LE 驱动电流 P = 功耗, 如果电流单位为 ma, 其单位为 mw 功耗计算示例 : I OUT = 50mA,N = 8,UTY = 1,V OUT = 2V,V+ = 5.25V P = (5.25V x 25mA) + (2V x 1 x 50mA x 8) = 0.931W 对于 16 引脚 TSSOP 封装 ( 根据 Absolute Maximum Ratings, T JA = 1 / = C/W), 允许的最大环境温度 T A 由下式给出 : T J(MAX) = T A + (P x T JA ) = +150 C = T A + (0.931 x C/W) 因此 T A = +6.3 C 高温关断 包含一个内部温度传感器, 当管芯温度超过大约 +165 C 时, 将关闭所有输出 当管芯温度降至低于大约 +140 C 时, 输出重新打开 寄存器的内容不受影响, 因此当驱动器功耗过高时, 外在表现是负载 LE 随着驱动器重复性过热和冷却而间歇地打开和关闭, 即交替地关闭和打开 LE 选择外部元件 R SET 设定 LE 输出电流 使用外部电阻 R SET 来设定输出 OUT0 至 OUT7 的 LE 电流 R SET 的最小允许值是 327.3Ω, 这时输出电流设定为 55mA 参考值 360Ω 将输出电流设定为 50mA 使用下式设定不同的输出电流 : R SET = 18,000 / I OUT 其中,I OUT 为要求的输出电流, 单位 ma 计算功耗 功耗 (P ) 的上限由下式确定 : P = (V+ x I+) + (V OUT x UTY x I OUT x N) 其中 : V+ = 供电电压 I+ = N 路输出吸收 I OUT LE 驱动电流时的电源工作电流 UTY = 加至 OE 端的 PWM 信号占空比 供电考虑 工作于芯片电源 V+ 和一个或多个 LE 电源下 使用 0.1µF 电容将每个电源旁路至 GN, 并且电容应尽可能靠近 放置 对于静态 LE 驱动来说, 这样做就可以了 而对于多片级联或者 PWM 应用来说, 每增加 4 至 16 个 器件, 各供电电源就需要额外增加 4.7µF 或者更大容量的电解电容进行去耦 所需的电容值取决于 LE 负载电流 PWM 开关频率和串口速度 V+ 去耦不充分会引起时序问题, 另外, 带有很大噪声的 LE 电源会影响 LE 电流调节功能 芯片信息 PROCESS: BiCMOS 8

9 表 1. 4 线串口真值表 SERIAL- ATA INPUT IN CLOCK INPUT SHIFT-REGISTER CONTENTS CLK n-1 n LOA INPUT LATCH CONTENTS LE n-1 n BLANKING INPUT OUTPUT CONTENTS OE n-1 n H H R 1 R 2 R n-2 R n-1 L L R 1 R 2 R n-2 R n-1 X R 0 R 1 R 2 R n-1 R n X X X X X H R 0 R 1 R 2 R n-1 R n P 1 P 2 P 3 P n-1 P n L P 0 P 1 P 2 P n-1 P n L P0 P 1 P 2 P n-1 P n X X X X X H Hi-Z Hi-Z Hi-Z Hi-Z Hi-Z L = 逻辑低电平 H = 逻辑高电平 X = 无关 P = 当前状态 R = 先前状态 选型指南 PART NUMBER OF OUTPUTS MAX OUTPUT VOLTAGE (V) MAX OUTPUT CURRENT LE FAULT ETECTION WATCHOG MAX6968 MAX MAX6978 MAX mA MAX6980 MAX MAX6979 MAX MAX6983 9

10 典型应用电路 +36V +5V µc SCLK MOSI MISO LOA ENABLE 360Ω V+ CLK IN OUT LE OE SET GN OUT0 OUT1 OUT2 OUT3 OUT4 OUT5 OUT6 OUT7

11 封装信息 ( 本数据资料提供的封装图可能不是最近的规格, 如需最近的封装外型信息, 请查询 ) XX XX TSSOP 4.4mm BOY.EPS PACKAGE OUTLINE, TSSOP, 4.40 MM BOY, EXPOSE PA E

12 封装信息 ( 续 ) ( 本数据资料提供的封装图可能不是最近的规格, 如需最近的封装外型信息, 请查询 ) PIPN.EPS 12

13 封装信息 ( 续 ) ( 本数据资料提供的封装图可能不是最近的规格, 如需最近的封装外型信息, 请查询 ) 2 1 E H IM A A1 B C E e H L INCHES MILLIMETERS MIN MAX MIN MAX SEE VARIATIONS BSC 0.65 BSC INCHES MIN MAX MILLIMETERS MIN MAX N 14L 16L 20L 24L 28L SSOP.EPS N A e B A1 L C NOTES: 1. &E O NOT INCLUE MOL FLASH. 2. MOL FLASH OR PROTRUSIONS NOT TO EXCEE.15 MM (.006"). 3. CONTROLLING IMENSION: MILLIMETERS. 4. MEETS JEEC MO LEAS TO BE COPLANAR WITHIN 0. MM. PROPRIETARY INFORMATION TITLE: PACKAGE OUTLINE, SSOP, 5.3 MM APPROVAL OCUMENT CONTROL NO. REV C 1 1 MAXIM 北京办事处 北京 8328 信箱邮政编码 0083 免费电话 : 电话 : 传真 : Maxim 不对 Maxim 产品以外的任何电路使用负责, 也不提供其专利许可 Maxim 保留在任何时间 没有任何通报的前提下修改产品资料和规格的权利 Maxim Integrated Products, 120 San Gabriel rive, Sunnyvale, CA Maxim Integrated Products Printed USA 是 Maxim Integrated Products, Inc. 的注册商标 Springer

MAX6978_DS_rev2.C

MAX6978_DS_rev2.C 19-3578; Rev 2; 7/5 8 端口 5.5V 恒流 LE 驱动器, 概述 串行接口 LE 驱动器提供 8 个开漏 恒流吸收 额定 5.5V LE 驱动输出 采用 3V 至 5.5V 电源供电 电源和 LE 电源能以任意顺序上电 所有恒流输出均由一个外部电阻设置, 每路最大 55mA 采用 25Mb 工业标准 4 线串口进行控制 含 LE 开路自动检测电路 故障状态在 LE 置高时装入串口移位寄存器,

More information

MAX3205Erev1.DS.C

MAX3205Erev1.DS.C 9-336; Rev ; 0/04 ±5kV ESD, (TVS), ESD HDTV PC ( DVI TM HDMI TM ) PC (FireWire USB 2.0) (PCI Express TM,InfiniBand ), ESD, ESD IEC 6000-4-2 ±5kV ±8kV ±5kV ESD TVS ESD, 2pF, 0.05pF, MAX3207 E, USB. USB

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

OVLFx3C7_Series_A3_bgry-KB.pub

OVLFx3C7_Series_A3_bgry-KB.pub (5 mm) x High brightness with well-defined spatial radiation patterns x U-resistant epoxy lens x Blue, green, red, yellow Product Photo Here Each device in the OLFx3C7 series is a high-intensity LED mounted

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

MAX481/3/5/7-91 DS.C

MAX481/3/5/7-91 DS.C 9-22; ev ; /3 S-45/S-422 MX4 MX43 MX45 MX47-MX49 MX47 S-45 S-422 MX43 MX47 MX4 MX49 EMI 25kbps MX4 MX45 MX49 MX49 MX47 2.5Mbps 2( 5( MX4 MX43 MX47.µ 5 MX47 MX47 2 MX47/ MX47 MX4-MX49 MX4 MX43 MX45 MX47

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

Microsoft Word B

Microsoft Word B UNISONIC TECHNOLOGIES CO., LTD PC POWER SUPPLY SUPERVISOR SOP16 DESCRIPTION The UTC 3513 is designed to provide protection circuits, power good output (PGO) indicator, fault protection output () and a

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

Microsoft Word - SP-DM13A-A.003.doc

Microsoft Word - SP-DM13A-A.003.doc Version : A.003 Issue Date : 2007/12/12 File Name Total Pages : 20 : SP--A.003.doc 16-bit Constant Current LED Driver 新竹市科學園區展業一路 9 號 7 樓之 1 9-7F-1, Prosperity Road I, Science Based Industrial Park, Hsin-Chu,

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

Microsoft PowerPoint - STU_EC_Ch07.ppt

Microsoft PowerPoint - STU_EC_Ch07.ppt 樹德科技大學資訊工程系 Chapter 7: Flip-Flops and Related Devices Shi-Huang Chen Fall 2010 1 Outline Latches Edge-Triggered Flip-Flops Master-Slave Flip-Flops Flip-Flop Operating Characteristics Flip-Flop Applications

More information

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St 19-6682; Rev 0; 5/13 备 有 评 估 板 DS1339B 概 述 DS1339B 串 行 实 时 时 钟 (RTC) 是 低 功 耗 时 钟 / 日 期 器 件, 带 有 两 路 可 编 程 日 期 / 时 间 计 时 闹 钟 和 一 路 可 编 程 方 波 输 出 通 过 I 2 C 总 线 串 行 传 输 数 据 和 地 址 时 钟 / 日 期 提 供 秒 分 时 星 期 日

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

(Microsoft Word W Technical document for \300\366\304\313.doc)

(Microsoft Word W Technical document for \300\366\304\313.doc) 1/15 V ALTIS-3535-3W-W-V Technical Document Features...2 Application...2 Environmental Compliance...2 Absolute Maximum Ratings...3 Flux Characteristics (Tj=50, IF=700mA)...4 Mechanical Dimension...5 Pad

More information

Current Sensing Chip Resistor

Current Sensing Chip Resistor 承認書 APPROVAL SHEET 廠商 : 客戶 : 麗智電子 ( 昆山 ) 有限公司 核準審核制作核準審核簽收 公 司 章 公 司 章 Liz Electronics (Kunshan) Co., LTD No. 989, Hanpu Road Kunshan City Jiangsu Province China Tel:0086-0512-57780531 Fax:0086-0512-57789581

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm .Features: 1.Magnetic Shielded surface mount inductor with high current rating. 2.Low resistance to keep power loss minimum..applications: Excellent for power line DC-DC conversion applications used in

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

Microsoft Word - SP-DM631-A.003.doc

Microsoft Word - SP-DM631-A.003.doc Version : A.003 Issue Date : 2008/05/12 File Name Total Pages : 24 : SP--A.003.doc 16-CHANNEL CONSTANT CURRENT LED DRIVER WITH PROGRAMMABLE PWM OUTPUTS 9-7F-1, Prosperity Road I, Science Based Industrial

More information

Table of Contents Power Film Capacitors Power Film Capacitors Series Table Product Type Series Voltage Capacitance() Page DC-Link Power Film Capacitors Power Film Capacitors Power Film Capacitors Power

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce EUTECH INSTRUMENTS αlpha-do1000 / Dissolved Oxygen Controller αlpha DO1000 MEAS 8.08 mg/l 25.0 o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL B 68X242101 09/98 1 αlpha-do1000 / αlpha-do1000

More information

DS1870 rev1 DS.C

DS1870 rev1 DS.C Rev 1; 5/4 AB LDMOS ( ) (LUT)256 A/D(ADC) LDMOS RF 13 ADCV CC V D I D1 I D2 ADC Hi/Lo 32 I 2 C TM 8 5V 16 TSSOP -4 C +95 C I 2 C Philips Corp. Maxim Integrated Products, Inc. I 2 C Philips I 2 C Philips

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

MAX3735/3735A DS.C

MAX3735/3735A DS.C 19-2529; Rev 2; 7/04 2.7Gbps SFP +3.3V 155Mbps 2.7Gbps SFP/SFF SFP MSA SFF-8472 (APC) 10mA 60mA ( 85mA) 1mA 100mA FP/DFB DS1858 SFP IC APC MAX3735A -40 C +85 C SFP/SFF 1G/2G SFP/SFF OC3 OC48-FEC SFP/SFF

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

untitled

untitled 0000137925 REV 1.0 ... 4... 5... 6... 7... 8... 9... 11... 12... 13... 14... 15... 17... 18... 20... 22 ( 1)... 25... 26 ( 2)... 28 \ 1 ( 2A)... 29 \ 2 ( 2B)... 30 SSR ( 2C)... 31 \ ( 2D)... 32 \ ( 3A)...

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

查询 AP432 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 Adjustable Precision Shunt Regulator Features - Precision reference voltage AP432 : 1.24V ± 1% AP432A : 1.24V

查询 AP432 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 Adjustable Precision Shunt Regulator Features - Precision reference voltage AP432 : 1.24V ± 1% AP432A : 1.24V 查询 AP432 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 Features - Precision reference voltage AP432 : 1.24V ± 1% AP432A : 1.24V ± 0.5% - Sink current capability: 200mA. - Minimum cathode current for regulation: 150µA

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

DM117

DM117 Version : PRE.001 Issue Date : 2006/5/1 File Name : SP--PRE.001.doc Total Pages : 25 16-bit Constant Current LED Driver with Error Detection 16-bit Constant Current LED Driver with Error Detection General

More information

深圳市鸿昊升电子有限公司 SHENZHEN HHS ELECTRONICS CO.,LTD. NO. 序号 Items 项目 Max. charge voltage 充电上限电压 Min. discharge voltage 放电终止电压 Max. continuation

深圳市鸿昊升电子有限公司 SHENZHEN HHS ELECTRONICS CO.,LTD. NO. 序号 Items 项目 Max. charge voltage 充电上限电压 Min. discharge voltage 放电终止电压 Max. continuation NO. 1 2 3 4 5 6 Max. charge voltage 充电上限电压 Min. discharge voltage 放电终止电压 Max. continuation charge current 最大连续充电电流 units 单位 V s 参数 5V V 2.4V ma 1100mA Max continuous discharge current 最大连续放电电流 ma 1100mA

More information

Sosen SS-50R-36 LED Driver Spec Sheet

Sosen SS-50R-36 LED Driver Spec Sheet SPECIFICATION 50W LED DRIVER Issued date: January 20, 2013 REV: V1.0 Model: SS-50R Description: 50W AC to DC LED DRIVER Customer: Customer Approval Signature SHENZHEN SOSEN ELECTRONICS CO LTD WRITTEN CHECKED

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Microsoft Word - 附件11_2_.doc

Microsoft Word - 附件11_2_.doc 附件 11 版本号 :FH-2013-001 柔性端头多层片式陶瓷电容器 MLCC WITH FLEX ITERM 一 特性 具有高强度的抗弯曲性能, 下弯可达到 3mm 可增加温度周期变化次数, 最多 3000 次 采用柔性端头体系 可减少线路板因弯曲导致的失效故障 应用范围 应用于高弯曲的线路板 应用于温度变化的线路 应用于汽车推进系统 一 FEATURE High mechanical performance

More information

Microsoft Word - PZ series.doc

Microsoft Word - PZ series.doc 叠 层 片 式 铁 氧 体 磁 珠 P 系 列 Multilayer Chip Ferrite Bead P Series Operating Temp. : -4 ~ +8 特 征 FEATUES 内 部 印 有 银 电 极 的 叠 层 结 构, 铁 氧 体 屏 蔽 无 串 扰 Internal silver printed layers and magnetic shielded structures

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

LK110_ck

LK110_ck Ck 电子琴 LK110CK1A Ck-1 1. 2. 1. 2. 3. (+) ( ) Ck-2 1. 2. 3. * 1. 2. 3. Ck-3 Ck-4 LCD LCD LCD LCD LCD LCD 15 * * / MIDI Ck-5 100 50 100 100 100 1 2 MIDI MIDI Ck-6 ... Ck-1... Ck-6... Ck-8... Ck-9... Ck-10...

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

EUTECH INSTRUMENTS αlpha-con1000 / Conductivity Controller αlpha CON1000 MEAS ms o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL

EUTECH INSTRUMENTS αlpha-con1000 / Conductivity Controller αlpha CON1000 MEAS ms o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL EUTECH INSTRUMENTS αlpha-con1000 / Conductivity Controller αlpha CON1000 MEAS 8.08 25.0 ms o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL B αlpha-con1000 / αlpha-con 1000 / Eutech Eutech Eutech

More information

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma Power Electronics Testings www.chromaate.com Turn-key Solutions Provider www. chromaate. com Chroma 1. 62000H I-V (MPPT) 4 5 9 3 2. / 6630/66200 3. 6500/61500/61600 4. / 63800 Chroma Model 62000H 62000H

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

God's Masterpiece- the Cross

God's Masterpiece- the Cross 神 的 杰 作 基 督 的 十 字 架 介 绍 内 住 在 里 面 的 罪 / 肉 体 / 自 我, 不 亚 于 一 个 肮 脏 的 暴 君 给 我 们 命 令 去 遵 行 对 于 许 多 信 徒 来 说, 这 所 有 的 事 实 已 经 成 为 了 多 年 来 一 个 继 续 不 停 的 问 题 我 们 为 此 而 挣 扎 而 斗 争 我 们 曾 在 无 价 值 的 努 力 去 摆 脱 我 们 自

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

进口防倾斜开关说明书进口防倾倒开关NKK开关进口防倒开关样本pdf资料进口倾斜开关

进口防倾斜开关说明书进口防倾倒开关NKK开关进口防倒开关样本pdf资料进口倾斜开关 进口防倾倒开关 N 开关北京 N 深圳 N 无锡 N 天津 N N 开关官网的进口倾倒开关说明书 Distinctive Characteristics DSA Environmentally friendly, contains no mercury. High contact reliability due to sealed body. The switch is triggered when

More information

IEC A( ) B C D II

IEC A( ) B C D II ICS 13.120 K 09 GB 4706.1 2005/IEC 60335-1:2004(Ed4.1) 1 Household and similar electrical appliances- Safety General requirements IEC60335-1 2004 Ed4.1,IDT 2005-08-26 2006-08-01 IEC 1 2 3 4 5 6 7 8 9 10

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S EUTECH αlpha-res1000 / Resistivity Controller αlpha RES1000 MEAS 18.20 1 25.0 M ATC ALARM REL A REL B REL A ENTER ESC AUTO MANU REL B αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte

More information

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc Wire Wound SMD Power Inductors SWRH-B Series Operating Temperature: -25 ~+105 (Including self-heating) FEATURES Various high power inductors are superior to be high saturation Suitable for surface mounting

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

诚芯微科技

诚芯微科技 概述 特点 是一款输入耐压可达 36V, 并且能够实现精确恒压以及恒流的降压型 DC-DC 转换器 内置 50mΩ High-side PMOS 以及 30mΩ Low-side NMOS, 可支持 3A 持续输出电流输出电压可调, 最大可支持 100% 占空比 具备高性能的负载响应以及输入电压响应能力, 同时精确的恒压和恒流控制环路实现极小的负载调整率和线性调整率 无需外部补偿, 可以依靠自身内置稳定环路实现恒流以及恒压控制,

More information

进口连接器生产厂家日本KEL连接器官网的KEL端子选型说明书pdf样本资料

进口连接器生产厂家日本KEL连接器官网的KEL端子选型说明书pdf样本资料 日本KL连接器官网的KL端子选型说明书pdf样本资料 25mil (0.635mm) Pitch 2 Piece Connector 8600 SRIS 中国KL代理商热线4006-022-002 北京代理 广东省 深圳 上海 天津 湖南 shunto@26.com -5 日本 KL 连接器官网的 KL 端子选型说明书 pdf 样本资料 TURS 0.635mm pitch oard to oard

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

HC50246_2009

HC50246_2009 Page: 1 of 7 Date: June 2, 2009 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

Microsoft Word - SP-DM412-A.003.doc

Microsoft Word - SP-DM412-A.003.doc Version : A.003 Issue Date : 2007/08/27 File Name : SP--A.003.doc Total Pages : 35 3-CHANNEL CONSTANT CURRENT LED DRIVERS WITH LED OPEN DETECTION 新竹市科學園區展業一路 9 號 7 樓之 1 9-7F-1, Prosperity Road I, Science

More information

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流源, 可以在每个输出端口提供 1mA 32mA 的恒定电 流 ; 且单颗 IC 片内输出电流差异小于 ±2.5%; 多颗 IC 间的输出电流差异小于 ±3.5%; 通道输出电流不随着输

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

概述 ; Rev 0; 3/12 备有评估板 MAX14984 增强型 VGA 端口保护器, 提供显示器检测和双 USB 电源开关 优势与特性 MAX14984 是一款完备的 VGA 端口保护器, 带有双路 USB 电源开关 该器件提供检测显示器插入 / 拔出所需的全部电路, 检测到显

概述 ; Rev 0; 3/12 备有评估板 MAX14984 增强型 VGA 端口保护器, 提供显示器检测和双 USB 电源开关 优势与特性 MAX14984 是一款完备的 VGA 端口保护器, 带有双路 USB 电源开关 该器件提供检测显示器插入 / 拔出所需的全部电路, 检测到显 概述 19-6229; Rev 0; 3/12 备有评估板 优势与特性 是一款完备的 VGA 端口保护器, 带有双路 USB 电源开关 该器件提供检测显示器插入 / 拔出所需的全部电路, 检测到显示器插入后可自动连接图形控制器 除常规的 VGA 信号外, 可切换的 5V 输出可为 VGA 端口提供高达 55mA 的电流 带有一个低电平有效的使能输入, 控制两个 5V USB 开关 每个开关可提供 500mA

More information

Microsoft Word - VA REV.A.doc

Microsoft Word - VA REV.A.doc Data Sheet Customer: Product : Multilayer Chip Varistor - VA Series Size: 0402/0405/0508/0603/0612/0805/1206/1210/1812 /2220 Issued Date: 22-Jan-11 Edition : REV.A VIKING TECH CORPORATION 光頡科技股份有限公司 No.70,

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

LSC操作说明

LSC操作说明 1 C H R I S T A L P H A 1-4 LSC 型 Part. No. 102041 A L P H A 2-4 LSC 型 Part. No. 10204 冷 冻 干 燥 机 操 作 说 明 新 研 制 的 LSC-8 控 制 器, 具 备 图 形 显 示 功 能, 能 以 数 据 表 形 式 显 示 参 数, 并 可 选 配 控 制 软 件 LSC-8 1/4 VGA 大 屏 幕

More information

01CP-WX3030WNetc_CO_ENG.indd

01CP-WX3030WNetc_CO_ENG.indd Data Video Projector User s Manual (Concise) ModelS: 8928A/8930A/8931WA/ 8933W Information in this Guide may change due to product improvements. To obtain the latest manuals, literature, and software please

More information

STK2139 Datasheet

STK2139 Datasheet 太欣半導體股份有限公司 SYNTEK SEMICONDUCTOR CO., LTD. USB 2.0 Video Class PC Camera Controller STK2139 Datasheet Released Version: V1.4 Content STK2139 1 PRODUCT OVERVIEW... 4 2 FUNCTIONAL BLOCK DIAGRAM... 5 3 PRODUCT

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

MC33035 MC33035 MC33035 MOSFET MC33035 MC º/300º 120º/240º MOSFET 60º/300º 120º/240º MOSFET MC33035M C SOP24 MC33035P -40

MC33035 MC33035 MC33035 MOSFET MC33035 MC º/300º 120º/240º MOSFET 60º/300º 120º/240º MOSFET MC33035M C SOP24 MC33035P -40 MOSFET 60º/300º 120º/240º 10 30 6.25 3 MOSFET 60º/300º 120º/240º MOSFET M -40 +85 C SOP24 P -40 +85 C DIP24!" #$% : 5 10 1-702, :210037 (TEL.): (86)-25-8562 8245 8562 8265 (FAX): (86)-25-8562 8352 1 /

More information

HC20131_2010

HC20131_2010 Page: 1 of 8 Date: April 14, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

1-S40A...-1 DAT00452 V.005

1-S40A...-1 DAT00452 V.005 1. 1-S40A -1 Technical data: DATA SHEET Technical data Unit 1-S40A -1 OIML R60 D1 C3 Emax Max. capacity Kg 50,100,200,500 50,100,200,500 t 1, 2, 3, 5 1, 2, 3, 5 vmin % of Cn 0.0286 0.0120 Sensitivity mv/v

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M 705/706/707/708/813 HM705/706/707/708/813 1.15 HM705/706/707/708/813 2.6 5.0 0.1 1.22 5V 52 200 HM705/706/707/708/813 1.6 HM705/706/813 1.6 705/706/707/708/813 HM707/708 HM813 8 DIP8 8 SOP8 HM705/706 :

More information

HC70245_2008

HC70245_2008 Reliability Laboratory Page: 1 of 6 Date: September 5, 2008 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

untitled

untitled 3 /Integrated Circuits Fuji Electric offers a lineup of AC/DC and DC/DC power supply control ICs that support a variety of power circuits. These highly efficient, lownoise products with low standby power

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

查询 UA733 供应商 The A733M is obsolete and no longer supplied. 200-MHz Bandwidth 250-kΩ Input Resistance 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 SLFS027B NOVEMBER 1970

查询 UA733 供应商 The A733M is obsolete and no longer supplied. 200-MHz Bandwidth 250-kΩ Input Resistance 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 SLFS027B NOVEMBER 1970 查询 UA733 供应商 2-MHz Bandwidth 25-kΩ Input Resistance 捷多邦, 专业 PCB 打样工厂,2 小时加急出货 Selectable Nominal Amplification of 1, 1, or No Frequency Compensation Required A733C...D, N, OR NS PACKAGE A733M...J PACKAGE

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

Microsoft PowerPoint - STU_EC_Ch01.ppt

Microsoft PowerPoint - STU_EC_Ch01.ppt 樹德科技大學資訊工程系 Chapter 1: Digital Concepts Shi-Huang Chen Sept. 2010 1 Chapter Outline 1.1 Digital and Analog Quantities 1.2 Binary Digits, Logic Level, and Digital Waveform 1.3 Basic Logic Operations 1.4

More information

MPLAB ICE PROMATE II MPLAB MPLAB ICE 2 3 Microchip MPLAB ICE ICSP PROMATE II AC44 MPLAB ICE MICROCHIP 2 Socket Module 3 4 4 (Transition Socket) SOIC SSOP PQFP TQFP PRO MATEII PRO MATEII DV73 PC ICD ICD2

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information