at90s1200.PDF

Size: px
Start display at page:

Download "at90s1200.PDF"

Transcription

1 AT90S AVR RISC 2. AVR RISC K FLASH EEPROM Peripheral Prescale 8 / SPI 5. MCU RC 6. Specification CMOS 7. 4MHz 3V V-6.0V AT90S V-6.0V AT90S MHz AT90S MHz AT90S AT90S1200 AVR RISC 8 1 1

2 AT90S1200 1MIPS/MHz 32 ALU AVR CISC 10 1 AT90S1200 AT90S1200 1K FLASH 64 EEPROM 15 I/0 32 SPI CPU / ATMEL FLASH RISC 8 CPU FLASH

3 AT90S1200 / VCC GND B PB7 PB0 B 8 I/O PB0 PB1 AIN0 AIN1 B 20mA LED B B D PD6 PD0 D 7 I/O 20mA D D /RESET XTAL1 50ns 50ns XTAL2 XTAL1 XTAL2 XTAL2 2 MCU HC 3 3 3

4 RC RC 1M MCU AT90S1200 RCEN 0 RC MCU RCEN RC RCEN AT90S1200A RCEN 4 4

5 4 AT90S1200 AVR RISC 32 8 ALU ALU AVR HARVARD Pipeline CPU 512 AVR I/O 64 CPU T/C A/D AVR I/O 5 5

6 5 AVR CPU R0 R1 R2 R28 R29 R30(Z) R31 5 SBCI SUBI CPI ANDI ORI R16 R31 R30 8 ALU AVR ALU 32 ALU 3 FLASH AT90S1200 1K FLASH FLASH FLASH AT90S1200 PC FLASH

7 I/O 9 7 7

8 5 10 AT90S RCALL PC RET RETI 0 PC EEPROM AT90S EEPROM AVR CPU

9 12 ALU I/O 1 AT90S1200 I/O 16 $3F SREG $3B GIMSK $39 TIMSK T/C $38 TIFR T/C $35 MCUCR MCU $33 TCCR0 T/C0 $32 TCNT0 T/C0 8 $21 WDTCR $1E EEAR EEPROM $1D EEDR EEPROM $1C EECR EEPROM $18 PORTB B $17 DDRB B $16 PINB B $12 PORTD D $11 DDRD D $10 OIND D $08 ACSR AVR1200 I/O I/O IN OUT I/O 32 $00-$1F I/O SBI CBI SIBC SIBS 0 I/O 1 CBI SBI 1 I/O SREG Status Register BIT $3F I T H S V N Z C / R/W R/W R/W R/W R/W R/W R/W R/W

10 I AT90S1200 I I RETI T BLD BST T BST T BLD T H S N V V N Z C AT90S I 2 RESET 2 1 $000 RESET 2 $001 INT0 0 3 $002 TIMER0 OVF0 T/C0 4 $003 ANA_COMP $000 RJMP RESET $001 RJMP EXT_INT0 IRQ0 $002 RJMP TIM0_OVF T0 $003 RJMP ANA_COMP $004 MAIN < > XXX AT90S V POT MCU /RESET 50ns MCU MCU I/O $000 $000 RJMP

11 13 3 V CC = 5.0V V V V RST V CC V t POR Ms t TOUT 16K WDT Ms V POT (1) 1. V POT POR 13 V POT 14 MCU /RESET V CC /RESET V CC V CC /RESET

12 15 MCU /RESET /RESET 50ns V RST t TOUT MCU 16 1 XTAL t TOUT

13 AT90S GIMSK TIMSK T/C I I RETI I 1 0 I MCU GIMSK BIT $3B - INT / R R/W R R R R R R INT0 0 INT0 I 1 MCU MCUCR 1/0 ISC01 ISC00 0 T/C TIMSK BIT $ TOIE0 - / R R R R R R R/W R TOIE0 T/C0 TOIE0 I 1 T/C0 T/C0 TIFR TOV0 $002 T/C TIFR BIT $ TOV0 - / R R R R R R R/W R TOV0 T/C0 T/C0 TOV0 TOV0 1 SREG I TOIE0 TOV0 INT0 MCU 13 13

14 MCUCR INT0 INT0 1 GIMSK INT INT0 AVR 4 4 PC 2 MCU 4 PC SREG I AVR AT90S MCU MCUCR BIT $ SE SM - - ISC01 ISC00- / R R R/W R/W R R R/W R/W SE SLEEP SE MCU MCU SLEEP SM SM 0 SM 1 ISC01 ISC00 INT0 4 0 ISC01 ISC ISC01/ISC00 INT0 GIMSK INT0 INT0 MCU 14 14

15 SE 1 SLEEP MCU MCU SLEEP I/O MCU RESET $000 SM 0 SLEEP MCU CPU / MCU MCU ACSR ACD SM 1 SLEEP MCU INT0 MCU MCU T TOUT MCU / 0 T/C0 AT90S / T/C0 10 T/C0 T/C0 18 T/C0 4 CK/8 CK/64 CK/256 CK/1024 CK T/C0 CK 19 T/C0 19 T/C

16 T/C0 CK CK T/C0 TCCR0 T/C0 CPU CPU MCU CPU T/C0 T/C0 T/C0 TCCR0 BIT $ CS02 CS01 CS00 / R R R R R R/W R/W R/W CS02 CS01 CS00 5 T/C0 CS02 CS01 CS CK CK/ CK/ CK/ CK/ T T0 T/C0 T0 PD4 T

17 T/C0 TCNT0 BIT $32 MSB LSB / R/W R/W R/W R/W R/W R/W R/W R/W T/C0 / T/C0 V CC =5V 1MHz 8 WDT WDT MCU MCU 20 WDTCR BIT $ WDE WDP2 WDP1 WDP0 / R R R R R/W R/W R/W R/W WDE WDE 1 WDP2 0 6 WDP2 WDP1 WDP0 V CC =3V V CC =5V K 47ms 15ms K 94ms 30ms K 0.19s 60ms K 0.38s 0.12s K 0.75s 0.24s K 1.5s 0.49s K 3.0s 0.97s K 6.0s 1.9s 17 17

18 WDT 0 EEPROM / EEPROM I/O EEP 2.5~4ms EEPROM / V CC / MCU EEP EEP EEPROM EEPROM / CPU 2 EEPROM EEAR BIT $1E - - EEAR5 EEAR4 EEAR3 EEAR2 EEAR1 EEAR0 / R R R/W R/W R/W R/W R/W R/W 0 0 X X X X X X 7 6 EEAR5 EEAR0 EEPROM EEPROM EEDR BIT $1D MSB LSB / R/W R/W R/W R/W R/W R/W R/W R/W EEDR7 EEDR0 EEPROM EEPROM EEDR DDAR EEDR EEAR EEPROM EECR BIT $1E EEWE EERE / R R R R R R R/W R/W EEWE EEPROM EEP EEWE EEPROM V CC =2.7V 4ms V CC =5V 2.5ms EEWE EEWE CPU 2 EERE EEPROM EEP EERE EEDR EERE EEPROM EEDR EEPROM EERE CPU 18 18

19 2 EEPROM EEPROM EEAR EEDR EEROM I 0 EEPROM CPU EEPROM EEPROM EEPROM EEPROM EEPROM CPU EEPROM 3 1 /RESET BOD Brown-out Detection AVR BOD 2 V CC AVR CPU EEPROM 3 FLASH PB0 AIN0 PB1 AIN1 PB0 AIN0 PB1 AIN1 ACO ACSR BIT $08 ACD - ACO ACI ACIE - ACIS1 ACIS0 / R/W R R R/W R/W R R/W R/W ACD ACD 1 ACD 19 19

20 ACO ACO ACI ACI ACIS1 ACIS0 ACI I 1 CPU ACI ACI 1 ACSR SBI CBI ACI ACIE ACIE 1 ACIS1 ACIS0 7 ACIS1/ACIS0 ACIS1 ACIS ACO 1 1 ACO ACIS1/ACIS0 I/O AVR I/O - - SBI CBI / B B 8 I/O B 3 I/O PORTB $18 DDRB $17 PINB $16 PORTB DDRB PINB 20mA LED B 8 B PB0 AIN0 PB1 AIN1 PB5 MOSI PB6 MISO PB7 SCK B DDRB PORTB 20 20

21 B PORTB BIT $18 PORTB7 PORTB0 / R/W R/W R/W R/W R/W R/W R/W R/W B DDRB BIT $17 DDB7 DDB0 / R/W R/W R/W R/W R/W R/W R/W R/W B PINB BIT $16 PINB7 PINB0 / R R R R R R R R Hi-Z Hi-Z Hi-Z Hi-Z Hi-Z Hi-Z Hi-Z Hi-Z PINB B PORTB B PINB B I/O I/O B 8 PBn I/O DDRB DDBn DDBn 1 DDBn 0 PBn B 9 B PBn DDBn PORTBn I/O 0 0 N 0 1 Y 1 0 N N 1 n B SCK PB7 MISO PB6 MOSI PB5 AIN1 PB1 DDB1=0 AIN0 PB0 DDB0=0 PB1=0 PB0=

22 B 22 B PB0 PB

23 23 B PB2 PB3 PB

24 24 B PB

25 25 B PB

26 26 B PB

27 D D 3 I/O PORTD $12 DDRD $11 PIND $10 PORTD DDRD PIND D 7 I/O PD6~PD0 20mA LED D 10 D PD2 INT0 0 PD4 T0 T/C0 D PORTD BIT $12 - PORTD6 PORTD0 / R R/W R/W R/W R/W R/W R/W R/W D DDRD BIT $11 - DDD6 DDB0 / R R/W R/W R/W R/W R/W R/W R/W D PIND BIT $10 - PIND6 PINB0 / R R R R R R R R 0 Hi-Z Hi-Z Hi-Z Hi-Z Hi-Z Hi-Z Hi-Z PIND D PORTD D PIND D I/O PDn I/O DDRD DDDn DDDn 1 DDDn 0 PDn D 9 D PDn DDDn PORTDn I/O 0 0 N 0 1 Y 1 0 N N 1 n

28 D T0 PD4 T/C0 INT0 PD2 D 27 D PD0 PD1 PD3 PD5 PD

29 28 D PD

30 29 D PD4 AT90S LB1 LB AT90S1200 SPIEN RCEN SPIEN 0 0 RCEN 0 0 MCU RC

31 Atmel 3 1 $000 $1E Atmel 2 $001 $90 1K FLASH 3 $002 $01 $01 $ $00 $01 $02 FLASH EEPROM 1 AT90S1200 1K FLASH 64 EEPROM +12V +12V FLASH EEPROM 13 AT90S V 6.0V 4.5V 5.5V 30 AT90S1200 XA1/XA0 XTAL1 /WE /OE 31 31

32 14 I/O RDY/BSY PD1 O 0 1 /OE PD2 I /WR PD3 I BS PD4 I 0 1 XA0 PD5 I 15 XA1 PD6 I 15 DATA PB0-7 I/O /OE 15 XA1 XA0 XA1 XA0 XTAL1 0 0 FLASH EEPROM BS 0 1 BS FLASH EEPROM FLASH EEPROM 1 13 V CC GND 2 /RESET BS 3 /RESET 100ns 11.5~12.5V BS /RESET +12V 100ns FLASH EEPROM FLASH EEPROM FLASH EEPROM 1 XA1 XA BS 0 3 DATA XTAL1 5 /WE t WLWH_CE RDY/BSY 32 32

33 FLASH A 1 XA1 XA BS 0 3 DATA FLASH 4 XTAL1 B 1 XA1 XA BS 1 3 DATA = 1K $00 $01 4 XTAL1 C 1 XA1 XA BS 0 3 DATA = $00~$FF 4 XTAL1 D 1 XA1 XA DATA = $00~$FF 5 XTAL1 E 1 BS 0 2 /WR RDY/BSY 3 RDY/BSY F 31 1 XA1 XA DATA = $00~$FF 3 XTAL1 G 1 BS 1 2 /WR RDY/BSY 3 RDY/BSY FLASH EEPROM 1 $FF EEPROM FLASH EEPROM 33 33

34 31 32 FLASH 1 A B $00~$01 3 C $00~$FF 4 /OE BS 0 DATA FLASH 5 BS 1 FLASH 6 /OE 1 EEPROM 1 A B $00~$3F 3 D $00~$FF 4 E 34 34

35 EEPROM 1 A B $00~$3F 3 /OE BS 0 4 /OE 1 DATA 1 A D Bit n = 0 1 Bit 5 = SPIEN Bit 0 = RCEN Bit 7-6, 4-1 = 1 3 /WR t WLWH_PFB RDY/BSY 1 A D Bit n = 0 Bit 2 = Lock Bit2 Bit 1 = Lock Bit1 Bit 7-3, 0 = 1 4 E 1 A /OE 0 BS 1 DATA Bit 7 = Lock Bit1 Bit 6 = Lock Bit2 Bit 5 = SPIEN Bit 0 = RCEN 3 /OE 1 1 A C $00~$02 /OE 0 BS 0 2 /OE

36 33 17 T A = 25 10% V CC = 5V 10% V PP V I PP 250 A t DVHX Data & Control Setup before XTAL1 High 67 ns t XHXL XTAL2 67 ns t XLDX Data & Control Hold after XTAL1 Low 67 ns t XLWL XTAL1 Low to /WR Low 67 ns t BVWL BS Valid to /WR Low 67 ns t RHBX BS Hold after RDY/BSY High 67 ns t WLWH /WR Pluse Width Low (1) 67 ns t WHRL /WR High to RDY/BSY Low (2) 20 ns t WLRH /WR Low to RDY/BSY Gigh (2) ms t XLOL XTAL1 Low to /OE Low 67 ns t OLDV /OE Low to DATA Valid 20 ns t OHDZ /OE High to DATA Tri-stated 20 ns t WLWH_CE /WR Pulse Width Low for Chip Erase ms t WLWH_PF /WR Pulse Width Low for Programming the ms Fuse Bits B 1 t WLWH_CE t WLWH_PFB 2 t WLWH t WLRH RDY/BSY /RESET FLASH EEPROM SPI SCK MOSI MISO /RESET / 36 36

37 34 EEPROM $FF FLASH EEPROM FLASH EEPROM FLASH $0000~$01FF $000~$03F XTAL1 XTAL1 XTAL2 1 XTAL1 4 XTAL1 EEPROM SCK AT90S1200 SCK /RESET SCK V CC GND 2 20ms MOSI PB5 3 t WD_ERASE /RESET 4 FLASH EEPROM t WD_PROG $FF 5 MISO PB6 /RESET 6 XTAL1 RC /RESET EEPROM EEPROM P1 P2 P1 P2 18 P1 P2 t WD_PROG EEPROM 37 37

38 $FF 18 EEPROM P1 P2 AT90S1200 $00 $FF FLASH FLASH $FF $FF t WD_PROG FLASH $FF AT90S xxxx xxxx xxxx xxxx /RESET x xxxx xxxx xxxx xxxx xxxx FLASH EE FLASH 0010 H a bbbb bbbb oooo oooo a:b H o FLASH 0100 H a bbbb bbbb iiii iiii H i a:b EEPROM bb bbbb oooo oooo b o EEPROM bb bbbb iiii iiii i b xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxbb oooo oooo b o (1) a = Bit b = Bit H = 0 1 o = i = x = 1 = Lock Bit1 2 = Lock Bit

39 36 20 T A = V CC = 2.7V 6.0V 1/ t CLCL V CC = 2.7V 4.0V 0 4 MHz t CLCL V CC = 2.7V 4.0V 250 ns 1/ t CLCL V CC = 4.0V 6.0V 0 12 MHz t CLCL V CC = 4.0V 6.0V 83.3 ns t SHSL SCK 4 t CLCL ns t SLSH SCK t CLCL ns t OVSH MOSI Setup to SCK High 1.25 t CLCL ns t SHOX MOSI Hold after SCK High 2.5 t CLCL ns t SLIV SCK Low to MISO Valid ns V 3.6V 4.0V 5.0V t WD_ERASE 18ms 14ms 12ms 8ms V 3.6V 4.0V 5.0V t WD_PROG 9ms 7ms 6ms 4ms T A = V CC = 2.7V 6.0V V IL XTAL1-0.5 (1) 0.3 V CC V V IL1 XTAL (1) V V IH XTAL1 (2) 0.6 V CC V CC +0.5 V /RESET V IH1 XTAL1 (2) 0.7 V CC V CC +0.5 V V IH2 /RESET (2) 0.85 V CC V CC +0.5 V V OL I OL =20mA V CC = 5V 0.6 V 3 B D I OL =10Ma V CC = 3V 0.5 V OH I OH =20mA V CC = 5V 4.3 V 4 B D I OH =10mA V CC = 3V 2.3 I IL V CC = 6V pin low 8.0 A I/O I IH V CC = 6V pin low 980 na 39 39

40 RRST R I/O I CC I CC V ACIO I ACLK t ACPD 1 I/O k I/O k V CC = 3V 3.0 ma 4MHz V CC = 3V 1.0 ma 4MHz 5 WDT V CC = 3V A WDT V CC = 3V A V CC = 5V 40 mv V CC =5V V IN =V CC / na V CC = 2.7V 750 ns V CC = 4.0V I OL 200mA D0 D5 ZTAL2 I OL 100mA B0 B7 D6 I OL 100mA 4 I OH 200mA D0 D5 ZTAL2 I OH 100mA B0 B7 D6 I OH 100mA 5 V CC 2V 37 V CC =2.7V~4.0V V CC =4.0V~6.0V 1/ t CLCL MHz t CLCL ns t CHCX ns t CLCX ns t CLCH s t CHCL s 40 40

41 100% I/O I/O I/O C L *V CC *f C L V CC = f=i/o

42

43

44 46 RC V CC =5V 44 44

45

46 52 53 I/O 54 I/O 46 46

47 55 I/O 56 I/O 57 I/O 47 47

48 58 I/O Rd Rr K k b I/O s Z Y X R31:R26 A I/O q 6 ADD Rd Rr Rd Rd + Rr Z,C,N,V,S,H 1 ADC Rd Rr Rd Rd + Rr + C Z,C,N,V,S,H 1 SUB Rd Rr Rd Rd - Rr Z,C,N,V,S,H 1 SUBI Rd K Rd Rd - K Z,C,N,V,S,H 1 SBC Rd Rr Rd Rd Rr - C Z,C,N,V,S,H 1 SBC I Rd K Rd Rd K - C Z,C,N,V,S,H 1 AND Rd Rr Rd Rd Rr Z, N,V,S 1 ANDI Rd K Rd Rd K Z, N,V,S 1 OR Rd Rr Rd Rd Rr Z, N,V,S 1 ORI Rd K Rd Rd K Z, N,V,S 1 EOR Rd Rr Rd Rd O Rr Z, N,V,S 1 COM Rd Rd $FF - Rd Z,C,N,V,S 1 NEG Rd Rd $00 - Rd Z,C,N,V,S,H 1 SBR Rd K Rd Rd K Z, N,V,S 1 CBR Rd K Rd Rd $FF - K Z, N,V,S 1 INC Rd 1 Rd Rd + 1 Z, N,V,S 1 DEC Rd 1 Rd Rd - 1 Z, N,V,S 1 TST Rd Rd Rd Rd Z, N,V,S 1 CLR Rd Rd Rd O Rd Z, N,V,S 1 SER Rd Rd $FF

49 RJMP k PC PC + k RCALL k PC PC + k + 1-3/4 RET PC - 4/5 RETI PC I 4/5 CPSE Rd Rr Rd=Rr PC PC+2-1/2/3 3 CP Rd Rr Rd - Rr Z,C,N,V,S,H 1 CPC Rd Rr Rd Rr - C Z,C,N,V,S,H 1 CPI Rd K Rd K Z,C,N,V,S,H 1 SBRC Rd b Rd b = 0-1/2/3 PC PC+2 3 SBRS Rd b I/O A b = 1-1/2/3 PC PC+2 3 SBIC A b I/O I/O A b = 0-1/2/3 PC PC+2 3 SBIS A b I/O Rd b = 1-1/2/3 PC PC+2 3 BRBS s k SREG s =1-1/2 PC PC+k+1 BRBC s k SREG s =0-1/2 PC PC+k+1 BREQ k Z=1 PC PC+k+1-1/2 BRNE k Z=0 PC PC+k+1-1/2 BRCS k C=1 PC PC+k+1-1/2 BRCC k C=0 PC PC+k+1-1/2 BRSH k C=0 PC PC+k+1-1/2 BRLO k C=1 PC PC+k+1-1/2 BRMI k N=1 PC PC+k+1-1/2 BRPL k N=0 PC PC+k+1-1/2 BRGE k NO+V=1-1/2 PC PC+k+1 BRLT k NO+V=0-1/2 PC PC+k+1 BRHS k H H=1 PC PC+k+1-1/2 BRHC k H H=0 PC PC+k+1-1/2 BRTS k T T=1 PC PC+k+1-1/2 BRTC k T T=0 PC PC+k+1-1/2 BRVS k V V=1 PC PC+k+1-1/2 BRVC k V V=0 PC PC+k+1-1/2 BRIE k I=1 PC PC+k+1-1/2 BRID k I=0 PC PC+k+1-1/2 LD Rd Z Rd Z - 2 ST Z Rd Z Rd - 2 MOV Rd Rr Rd Rr - 1 LDI Rd K Rd K - 1 IN Rd A I/O Rd I/O A

50 OUT A Rr I/O I/O A Rr - 1 SBI A b I/O I/O A b 1-2 CBI A b I/O I/O A b 0-2 LSL Rd Rd(n + 1) Rd(n) Z,C,N,V,H 1 Rd(n) 0 C Rd(7) LSR Rd Rd(n) Rd(n + 1) Z,C,N,V 1 Rd(7) 0 C Rd(0) ROL Rd Rd(0) C Rd(n + 1) Z,C,N,V,H 1 Rd(n) C Rd(7) ROR Rd Rd(7) C Rd(n) Z,C,N,V 1 Rd(n + 1) C Rd(0) ASR Rd Rd(n) Rd(n + 1) Z,C,N,V 1 n=6..0 SWAP Rd Rd(3..0) Rd(7..4) - 1 BSET s SREG s 1 SREG s 1 BCLR s SREG s 0 SREG s 1 BST Rr b Rr b T T Rr b T 1 BLD Rd b T Rr b Rr b T - 1 SEC C C 1 C 1 CLC C C 0 C 1 STN N N 1 N 1 CLN N N 0 N 1 SEZ Z Z 1 Z 1 CLZ Z Z 0 Z 1 SEI I I 1 I 1 CLI I I 0 I 1 SES S S 1 S 1 CLS S S 0 S 1 SEV V V 1 V 1 CLV V V 0 V 1 SET T T 1 T 1 CLT T T 0 T 1 SHE H H 1 H 1 CLH H H 0 H 1 NOP - 1 SLEEP - 3 WDR - 1 MHz V AT90S1200 4PC 20P3 AT90S1200 4SC 20S 0 70 AT90S1200 4YC 20Y AT90S1200 4PI 20P3 AT90S1200 4SI 20S 50 50

51 AT90S1200 4YI 20Y AT90S PC 20P3 AT90S SC 20S 0 70 AT90S YC 20Y AT90S PI 20P3 AT90S SI 20S AT90S YI 20Y AT90S1200A XXX RCEN 20P PDIP 20S Gull-Wing SOIC 20Y SSOP AVR ASM AVR ICE STDPOD ADCPOD ICE 200 AVR STUDIO START KIT 200 SL-AVR,LT

tiny15.PDF

tiny15.PDF ATtiny15/L 1. 8 AVR 2. RISC 90 32 8 3. 1K FLASH 1000 64 EEPROM 100000 4. Peripheral Prescale 8 / 100kHz PWM 4 10 ADC 20 5. MCU SPI ISP BOD 1.6MHz T/C1 25.6MHz 6. I/O 8 PDIP/SOIC 6 I/O 7. 2.7V-5.5V ATtiny15/L1L

More information

AVR单片机指令系统.PDF

AVR单片机指令系统.PDF AVR 4 1 (1) 89 :AT90S1200, ; (2) 90 ( ):Attiny11/12/15/22; 90 = +89 (3) 118 ( ):AT90S2313/2323/2343/2333,/4414/4433/4434/8515/90S8534/8535 ;118 = + 90 ; (4) 121 ( )ATmega603/103; 121 = + 118 ; (5) 130

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

AVR单片机的应用.PDF

AVR单片机的应用.PDF AVR 7 1 AVR AVR 7 2 ;******************************************************************** ;* * ;* * ;* if fos=8mhz time (3.5us------1s) * ;* dt time * ;* 22 1ms * ;* 29 2ms * AVR 7 3 ;* 40 5ms * ;* 51

More information

ATmega8

ATmega8 产 品 特 性 高 性 能 低 功 耗 的 8 位 AVR 微 处 理 器 先 进 的 RISC 结 构 3 条 指 令 大 多 数 指 令 执 行 时 间 为 单 个 时 钟 周 期 32 个 8 位 通 用 工 作 寄 存 器 全 静 态 工 作 工 作 于 6 MHz 时 性 能 高 达 6 MIPS 只 需 两 个 时 钟 周 期 的 硬 件 乘 法 器 非 易 失 性 程 序 和 数 据

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i 103 USB C#.NET VB6 100 USB 102 7 15 USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i LibUsbDotNet LibUsbDotNet USB.NET VB2010 VB6 Visual Basic

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO 4K / I/O 4 SH6610C 4 OTPROM 4K X 16 SH69P25 MASK ROM 4K X 16 SH69K25 RAM 192 X 4-32 - 160 2.4V - 5.5V 5.0V 22 I/O 4 8 / PORTA-PORTF / - Timer0 - PORTB & PORTC / - 32.768kHz 4MHz - 400kHz 4MHz - RC 400kHz

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

79 SZ/SH/SM ONOFF SET/RST SZSHSM SET SET RST RESET ( ) ( ) SET XXXX SET XXXX XXXX RST XXXX RST XXXX XXXX 1(2) SZ3 SZ4 SH SM I000~I177 I000~I477 I000~I

79 SZ/SH/SM ONOFF SET/RST SZSHSM SET SET RST RESET ( ) ( ) SET XXXX SET XXXX XXXX RST XXXX RST XXXX XXXX 1(2) SZ3 SZ4 SH SM I000~I177 I000~I477 I000~I 78 SZ/SH/SM 55 ON OUT/ZOUT OUT OUT AOUT ZOUT SZ3 SZ4 SH SM SZSHSM I000~I177 I000~I477 I000~I077 I000~I077 1 Q000~Q177 Q000~Q477 Q000~Q077 Q000~Q077 M000~M377 M000~M377 M000~M377 M000~M377 1. ON OFF 2.OUT

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

ISO h.PDF

ISO h.PDF 1 2 ID-1 IC IC 2 GB/T 14916 1994 - GB/T 16649.1 1996 - - 1 : GB/T 16649.2 1996 - - 2 : GB/T 16649.3 1996 - - 3 : ISO/IEC 7816 4 1995 - - 4 : ISO/IEC 7816 5 199 5 - - 5 :. 3 i 3 4 5 data cold reset 6 4

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

2

2 1 2 Y J Q CLK CK K Q 3 4 5 6 7 峯峯 8 9 敍 10 11 12 13 VCC D1 R1 R2 To MCU RESET C1 14 15 EA 16 17 18 19 A18 A17 A16 A20 A19 ADDRS A2 A1 A0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 E3 E2 E1 74LS138 MPWR MPRD Vcc WR RD CS

More information

58 四 川 成 都 成 飞 餐 厅 四 川 省 成 都 市 青 羊 区 成 飞 大 道 优 玛 特 超 市 1 楼 59 四 川 成 都 骡 马 市 四 川 省 成 都 市 青 羊 区 人 民 中 路 二 段 28 号 附 3 号 60 四 川 成 都 通 惠 门 餐 厅 成 都 市 青 羊 区

58 四 川 成 都 成 飞 餐 厅 四 川 省 成 都 市 青 羊 区 成 飞 大 道 优 玛 特 超 市 1 楼 59 四 川 成 都 骡 马 市 四 川 省 成 都 市 青 羊 区 人 民 中 路 二 段 28 号 附 3 号 60 四 川 成 都 通 惠 门 餐 厅 成 都 市 青 羊 区 1 四 川 成 都 春 熙 路 四 川 省 成 都 市 锦 江 区 正 科 甲 巷 1-67 号 2F 2 四 川 成 都 新 会 展 餐 厅 四 川 省 成 都 市 高 新 区 世 纪 城 路 198 号 附 6 号 3 四 川 成 都 城 市 之 心 餐 厅 四 川 省 成 都 市 人 民 南 路 一 段 86 号 城 市 之 心 大 厦 1 楼 4 四 川 成 都 温 哥 华 广 场 餐 厅

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189

!#!$ %!$ %%$&&''!(!)!*+,,!%*& -./ *##)' * %$ ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189 !"#!$ %!$""%%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2#$*#2'' *($#3*($#3'' -. 45,67!&$#6)*$+689. 0, ' 189 (# 9(##(# '!# ( $,F, A(#./F/ #-'-* 2>F> *'-* $3F>>/ "96((* ( (" 9 96 4>/ E> #-'-*

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

instructions.PDF

instructions.PDF 94 SIMATIC (END) (END) Micro/WIN 32 (STOP) (STOP) CPU RUN STOP STOP CPU RUN STOP (WDR) (Watchdog Reset) (WDR) CPU WDR WDR ( ) I/O ( I/O ) SM (SM0 SM5 SM29 ) 25 0 ms 00 ms STOP 300ms 300ms WDR S7-200 CPU

More information

(CIP) : / :,, :,2000.5 ISBN 7-04 - 008822-3 - - N - 42 CIP (2000)60397 55 100009 010-64054588 010-64014048 / 8501168 1/ 32 7.875

(CIP) : / :,, :,2000.5 ISBN 7-04 - 008822-3 - - N - 42 CIP (2000)60397 55 100009 010-64054588 010-64014048  /  8501168 1/ 32 7.875 ( ) (CIP) : / :,, :,2000.5 ISBN 7-04 - 008822-3 - - N - 42 CIP (2000)60397 55 100009 010-64054588 010-64014048 http:/ / www.hep.edu.cn 8501168 1/ 32 7.875 190 000 8.40, ( ) 1 16 16 16 18 21 22 24 24 24

More information

发展党员材料填写参考(上网).doc

发展党员材料填写参考(上网).doc 华 中 科 技 大 学 党 员 发 展 材 料 填 写 参 考 中 共 华 中 科 技 大 学 委 员 会 组 织 部 2010 年 3 月 31 日 目 录 1 党 员 发 展 材 料 一 览 表... 1 2 入 党 志 愿 书 填 写 参 考... 2 3 申 请 入 党 积 极 分 子 培 养 考 察 登 记 表 填 写 参 考... 13 4 华 中 科 技 大 学 发 展 党 员 综 合

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

Ctpu

Ctpu 二 委 任 出 席 安 全 理 事 会 的 代 表 副 代 表 候 补 代 表 和 代 理 代 表 2010 年 8 月 1 日 至 2011 年 7 月 31 日 期 间 委 任 出 席 安 全 理 事 会 的 代 表 副 代 表 候 补 代 表 和 代 理 代 表 如 下 : * 奥 地 利 海 因 茨 菲 舍 尔 先 生 ( 奥 地 利 联 邦 总 统 ) 米 夏 埃 尔 施 平 德 埃 格

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

untitled

untitled CPU!! 00-11-8 Liping zhang, Tsinghua 1 : ADD(r1, r, r) CMPLEC(r, 5, r0) MUL(r1, r, r) SUB(r1, r, r5) ADD r, ( ) r CMP. CMP r.. t t + 1 t + t + t + t + 5 t + 6 IF( ) ADD CMP MUL SUB RF NOP ADD CMP MUL SUB

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

MergerPdf.dll

MergerPdf.dll 2015 1 25) " " 319 "2015" "l4 ; " " ; " 330 " " ; ( ) :3030 : :580 9 20153 24 H ) C : @ : ( ) l2014]72 ) : ; : : ; : : 226 3 5 : l8 ; : : () ; :30303036 01399 :580226l9 0l5 ; ' ' : : : B: @@ 2 1125 ; a

More information

,4 :20..,5 ( )..,1. :..,2..,2..,10..,3.., ,1..,3..,12..,1..,2 :..,..,2 :..,3..,6..,4 ( )..,4.,.,3 6..,3 :..,3..,1 :..

,4 :20..,5 ( )..,1. :..,2..,2..,10..,3.., ,1..,3..,12..,1..,2 :..,..,2 :..,3..,6..,4 ( )..,4.,.,3 6..,3 :..,3..,1 :.. 2001..,2..,1 :..,5..,1..,6..,4..,3..,4..,2 :..,1..,1..,3 :..,3..,3 208 2001 20..,4 :20..,5 (1912 1949)..,1. :..,2..,2..,10..,3..,3 1999..,1..,3..,12..,1..,2 :..,..,2 :..,3..,6..,4 (1931 1932 )..,4.,.,3

More information

Microsoft Word - n9786954.doc

Microsoft Word - n9786954.doc 企 业 境 外 所 得 税 收 抵 免 操 作 指 南 目 录 第 一 条 关 于 适 用 范 围 第 二 条 关 于 境 外 所 得 税 额 抵 免 计 算 的 基 本 项 目 第 三 条 关 于 境 外 应 纳 税 所 得 额 的 计 算 第 四 条 关 于 可 予 抵 免 境 外 所 得 税 额 的 确 认 第 五 条 关 于 境 外 所 得 间 接 负 担 税 额 的 计 算 第 六 条 关

More information

untitled

untitled I G B T S310 220V 0.4~1.5KW (0.88~2.9KVA) : : 65-C : 0510-85227555() : 0510-85227556 http://www.taian-technology.com VER:06 2011.7 S310 0.1 0.2 1.1 1.1.1 1.1.2 1.1.3 1.1.4 1.1.5 3.1 3.2 3.3 3.3.1 3.3.2

More information

LK110_ck

LK110_ck Ck 电子琴 LK110CK1A Ck-1 1. 2. 1. 2. 3. (+) ( ) Ck-2 1. 2. 3. * 1. 2. 3. Ck-3 Ck-4 LCD LCD LCD LCD LCD LCD 15 * * / MIDI Ck-5 100 50 100 100 100 1 2 MIDI MIDI Ck-6 ... Ck-1... Ck-6... Ck-8... Ck-9... Ck-10...

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

文件名

文件名 MICROCHIP PIC10F200/202/204/206 6 8 FLASH PIC10F200 PIC10F202 PIC10F204 PIC10F206 RISC CPU 33 12 8 8 4MHz 1 s 4MHz 1% TM ICSP TM ICD POR DRT WDT RC MCLR I/O /CMOS < 350 A @ 2V 4 MHz 100 na @ 2V FLASH 10000

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

农银人寿发[2013]102号-4 农银寰宇至尊高端医疗保险条款

农银人寿发[2013]102号-4 农银寰宇至尊高端医疗保险条款 农 银 人 寿 [2013] 医 疗 保 险 004 号 请 扫 描 以 查 询 验 证 条 款 农 银 寰 宇 至 尊 高 端 医 疗 保 险 条 款 阅 读 指 引 本 阅 读 指 引 有 助 于 您 理 解 条 款, 对... 本 主 险 合 同... 内 容 的 解 释 以 条 款 为 准... C 您 拥 有 的 重 要 权 益 v 本 主 险 合 同 提 供 的 保 障 第 二 章 v

More information

FX PLC 8, : PLC FX PLC PLC PLC FXGP SFC PLC FX2 PLC PLC PLC PLC,,,, PLC,, : ,,,, ;,, ( CIP) PLC ( FX )/. :,

FX PLC 8, : PLC FX PLC PLC PLC FXGP SFC PLC FX2 PLC PLC PLC PLC,,,, PLC,, : ,,,, ;,, ( CIP) PLC ( FX )/. :, 21 PLC ( FX ) FX PLC 8, : PLC FX PLC PLC PLC FXGP SFC PLC FX2 PLC PLC PLC PLC,,,, PLC,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) PLC ( FX )/. :, 2005.6 (21. ) ISBN 7-302-10896-X. P.. - : -.

More information

Ps22Pdf

Ps22Pdf ,, (CIP) /.:, 2006 ISBN 7-5629-2480-5... -. U415.6 CIP (2006) 160794 : ( 122 :430070 ) http: ww w.t ech book.com.cn E-mail: w u tpyyk@163.com : : :7871092 1/ 16 :12.25 :302 :2006 12 1 :2006 12 1 :12000

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

25.( 0 在 進 行 水 溫 與 溶 解 量 的 實 驗 時, 每 一 匙 糖 都 要 刮 平 的 主 要 目 的 為 何? 1 避 免 一 次 溶 解 太 多 糖 2 可 以 增 加 溶 解 糖 的 次 數 3 控 制 加 入 的 每 一 匙 糖 都 一 樣 多 4 可 以 減 少 溶 解 量

25.( 0 在 進 行 水 溫 與 溶 解 量 的 實 驗 時, 每 一 匙 糖 都 要 刮 平 的 主 要 目 的 為 何? 1 避 免 一 次 溶 解 太 多 糖 2 可 以 增 加 溶 解 糖 的 次 數 3 控 制 加 入 的 每 一 匙 糖 都 一 樣 多 4 可 以 減 少 溶 解 量 五 上 自 然 與 生 活 科 技 科 第 四 單 元 水 溶 液 一 選 擇 題 01.( 0 下 列 哪 一 種 方 法 可 以 辨 識 出 水 溶 液 的 酸 鹼 性? 1 用 眼 睛 仔 細 觀 察 2 用 電 池 電 線 和 小 燈 泡 來 測 試 3 用 食 鹽 水 來 辨 識 4 用 紫 羅 蘭 花 的 汁 液 來 測 試 02.( 0 下 列 哪 一 種 水 溶 液 不 是 中 性

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

《手机维修原理及维修实例详解》目录

《手机维修原理及维修实例详解》目录 1 IC MP3 MP4 2 CPU MP3 MP4 13M 1 LCD 2 13MHz 3 CONTACT SERVICE T SIM MP3 MP4 2 4 FLASH ROM EEPROM RAM SIM CPU CPU RST CPU FLASH Flash CE CS Flash DE WE RST Flash Flash FLASH EEPROM Phone Locked CON-TACTSERVICE

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

CA24064B LED LED LED EL EL CCFL EL CCF /

CA24064B LED LED LED EL EL CCFL EL CCF / CA24064B 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 39 2 6 0755-81995643/27890716 0 13713911853 0755-27890716 1 : VDD-VSS 0 6 V LCD VDD-V0 Ta=25 0 28.0 V VI 0 VDD V : VDD-VSS --- 4.75 5.0 5.25 V

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

- 1-5.2-29 - 1.1-1 - - 40-1.2-2 - 6.1 F0-40 - - 4-6.2 F1-42 - 2.1-4 - 6.3 F2-44 - 2.2-4 - 6.4 F3-45 - 2.3-5 - 6.5 F4-49 - 2.4-5 - 6.6 F5-51 - 2.5-7 - 6.7 F6-53 - 2.6-8 - 6.8 F7-55 - 2.7-8 - 6.9 F8-57 -

More information

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB JX-300X DCS I/O / SBUS 3-1 3-1 JX-300X DCS 3-1 JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SBUS 3-2

More information

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol s MICROMASTER 410/420/440 0.12kW 200kW DA51.2 2002 MICROMASTER 410/420/440 DA51.2 2002 0.12kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Voltage

More information

( ) A B C D ( ) A B C D A B C D A B C D A 8750 B C 6250 D 5000 A B C D A B C D

( ) A B C D ( ) A B C D A B C D A B C D A 8750 B C 6250 D 5000 A B C D A B C D 1 A B C D A B C D A B C D 1000 1200 900 A B C D ( ) A B C D ( ) A B C D A B C D A B C D 5000 6250 A 8750 B 11250 C 6250 D 5000 A B C D A B C D A B C D 1 200000 400 10 A 1000 B 1600 C 2000 D 2300 1 A B

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

VF---10

VF---10 VF---10 1 2 3 MCCB MC 1K2W 0~10V 0~5V 4~20mA R S T FWD REV X1 X2 X3 X4 X5 X6 COM 10V VS1 VS2 IS AGND U V W E COM PG A+ PG A- PG B+ 24V PG B- A B C Y1 Y2 Y3 COM M 485+ 485- A01 A02 AGND AM FM 6 MCCB

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information