SCON 中 当这些中断源请求中断时, 分别由 TCON 和 SCON 中的相应位来锁存 IT0=0 INT0 IT0=1 T0 IT1=0 INT1 IT1=1 1 TCON IE0 TF0 IE1 EX0 EX0 EX1 IE IP PX0 1 0 PT0 1 0 PX1 1 0 自然优先级 矢

Size: px
Start display at page:

Download "SCON 中 当这些中断源请求中断时, 分别由 TCON 和 SCON 中的相应位来锁存 IT0=0 INT0 IT0=1 T0 IT1=0 INT1 IT1=1 1 TCON IE0 TF0 IE1 EX0 EX0 EX1 IE IP PX0 1 0 PT0 1 0 PX1 1 0 自然优先级 矢"

Transcription

1 情境三 任务 1 交通信号灯 信号灯控制系统 教学目的知识能力 : 理解中断的含义, 能进行中断程序的编写技能能力 : 通过本次学习任务的实施, 能够掌握单片机的中断系统社会能力 : 培养学生的自主学习能力和爱岗敬业 吃苦耐劳 团队协作的精神 3.1 单片机的中断系统 在 CPU 与外设交换信息时, 存在一个快速的 CPU 与慢速的外设间的矛盾 为解决这个问题, 采用了中断技术 良好的中断系统能提高计算机实时处理的能力, 实现 CPU 与外设分时操作和自动处理故障, 从而扩大了计算机的应用范围 当 CPU 正在处理某项事务的时候, 如果外界或内部发生了紧急事件, 要求 CPU 暂停正在处理的工作转而去处理这个紧急事件, 待处理完以后再回到原来被中断的地方, 继续执行原来被中断了的程序, 这样的过程称为中断 向 CPU 提出中断请求的源称为中断源 微型计算机一般允许有多个中断源 当几个中断源同时向 CPU 发出中断请求时,CPU 应优先响应最需紧急处理的中断请求 为此, 需要规定各个中断源的优先级, 使 CPU 在多个中断源同时发出中断请求时能找到优先级最高的中断源, 响应它的中断请求 在优先级高的中断请求处理完了以后 再响应优先级低的中断请求 当 CPU 正在处理一个优先级低的中断请求的时候, 如果发生另一个优先级比它高的中断请求,CPU 能暂停正在处理的中断源的处理程序, 转去处理优先级高的中断. 请求, 待处理完以后, 再回到原来正在处理的低级中断程序, 这种高级中断源能中断低级中断源的中断处理称为中断嵌套 MCS-51 系列单片机允许有五个中断源, 提供两个中断优先级 ( 能实现二级中断嵌套 ) 每一个中断源的优先级的高低都可以通过编程来设定 中断源的中断请求是否能得到响应, 受中断允许寄存器 IE 的控制 ; 各个中断源的优先级可以由中断优先级寄存器 IP 中的各位来确定 ; 同一优先级中的各中断源同时请求中断时, 由内部的查询逻辑来确定响应的次序 这些内容都将在本节中讨论 中断请求源和中断请求标志 1 中断请求源 MCS-51 中断系统可用图来表示 五个中断源是 : INT 0 来自 P3.2 引脚上的外部中断请求 ( 外中断 0) INT 1 来自 P3.3 引脚上的外部中断请求 ( 外中断 1) T0 片内定时器 / 计数器 0 溢出 (TF0) 中断请求 T1 片内定时器 / 计数器 1 溢出 (TF1) 中断请求 串行口片内串行口完成一帧发送或接收中断请求源 TI 或 RI 每一个中断源都对应有一个中断请求标志位, 它们设置在特殊功能寄存器 TCON 和

2 SCON 中 当这些中断源请求中断时, 分别由 TCON 和 SCON 中的相应位来锁存 IT0=0 INT0 IT0=1 T0 IT1=0 INT1 IT1=1 1 TCON IE0 TF0 IE1 EX0 EX0 EX1 IE IP PX0 1 0 PT0 1 0 PX1 1 0 自然优先级 矢量地址 高级中断请求 PC T1 TX RX TF1 TI RI SCON 中断标志 1 ET1 ES 源允许 EA 总允许 PT1 1 0 PS 1 0 优先级 自然优先级 矢量地址 低级中断请求 PC 硬件查询 中断系统 2 中断标志 ⑴ 定时器控制寄存器 TCON TCON 是定时器 / 计数器 0 和 1(T0,T1) 的控制寄存器, 它同时也用来锁存 T0,T1 的溢出中断请求源和外部中断请求源 TCON 寄存器中与中断有关的位如下图所示 D7 D6 D5 D4 D3 D2 D1 D0 TF1 TF0 IE1 IT1 IE0 IT0 其中 : 1 TF1 定时器 / 计数器 1(T1) 的溢出中断标志 当 T1 从初值开始加 1 计数到计数满, 产生溢出时, 由硬件使 TF1 置 1, 直到 CPU 响应中断时由硬件复位 2 TF0 定时器 / 计数器 0(T0) 的溢出中断标志 其作用同 TF1 3 IE1 外中断 1 中断请求标志 如果 IT1=1, 则当外中断 1 引脚 INT 1 上的电平由 1 变 0 时,IE1 由硬件置位, 外中断 1 请求中断 在 CPU 响应该中断时由硬件清 0 4 IT1 外部中断 1( INT 1 ) 触发方式控制位 如果 IT1 为 1, 则外中断 1 为负边沿触发 方式 (CPU 在每个机器周期的 S5P2 采样 INT 1 脚的输入电平, 如果在一个周期中采样到高 电平, 在下个周期中采样到低电平, 则硬件使 IE1 置 1, 向 CPU 请求中断 ); 如果 IT1 为 0, 则外中断 1 为电平触发方式 此时外部中断是通过检测 INT 1 端的输入电平 ( 低电平 ) 来触

3 发的 采用电平触发时, 输入到 INT 1 的外部中断源必须保持低电平有效, 直到该中断被响 应 同时在中断返回前必须使电平变高, 否则将会再次产生中断 5 IE0 外中断 0 中断请求标志 如果 IT0 置 1, 则当 INT 0 上的电平由 1 变 0 时,IE0 由硬件置位 在 CPU 把控制转到中断服务程序时由硬件使 IE0 复位 6 IT0 外部中断源 0 触发方式控制位 其含义同 IT1 ⑵ 串行口控制寄存器 SCON 串行口控制寄存器 SCON 中的低 2 位用作串行口中断标志, 如下图所示 D7 D6 D5 D4 D3 D2 D1 D0 TI RI 其中 : RI 串行口接收中断标志 在串行口方式 0 中, 每当接收到第 8 位数据时, 由硬件置位 RI; 在其他方式中, 当接收到停止位的中间位置时置位 RI 注意, 当 CPU 转入串行口中断服务程序入口时不复位 RI, 必须由用户用软件来使 RI 清 0 TI 串行口发送中断标志 在方式 0 中, 每当发送完 8 位数据时由硬件置位 TI; 在其他方式中于停止位开始时置位 TI 也必须由软件来复位 中断控制 中断允许和禁止 在 MCS-51 中断系统中, 中断允许或禁止是由片内的中断允许寄存器 IE(IE 为特殊功能寄 存器 ) 控制的,IE 中的各位功能如下 : D7 D6 D5 D4 D3 D2 D1 D0 EA ES ET1 EX1 ET0 EX0 其中 : EA CPU 中断允许标志 EA=0,CPU 禁止所有中断, 即 CPU 屏蔽所有的中断请求 ; EA=1,CPU 开放中断 但每个中断源的中断请求是允许还是被禁止, 还需由各自的允许位确定 ( 见 D4~D0 位说明 ) ES 串行口中断允许位 ES=1, 允许串行口中断 ;ES=0, 禁止串行口中断 ET1 定时器 / 计数器 1(T1) 的溢出中断允许位 ET1=1, 允许 T1 中断 ;ET1=0, 禁止 T1 中断 EX1 外部中断 1 中断允许位 EX1=1, 允许外部中断 1 中断 ;EX1=0, 禁止外部中断 1 中断 ET0 定时器 / 计数器 0(T0) 的溢出中断允许位 ET0=1, 允许 T0 中断 ;ET0=0, 禁止 T0 中断 EX0 外部中断 0 中断允许位 EX0=1, 允许外部中断 0 中断 ;EX0=0, 禁止外部中断 0 中断 中断允许寄存器中各相应位的状态, 可根据要求用指令置位或清 0, 从而实现该中断源允许中断或禁止中断, 复位时 IE 寄存器被清 0 中断优先级控制 MCS-51 中断系统提供两个中断优先级, 对于每一个中断请求源都可以编程为高优先级中断

4 源或低优先级中断源, 以便实现二级中断嵌套 中断优先级是由片内的中断优先级寄存器 IP( 特殊功能寄存器 ) 控制的 IP 寄存器中各位的功能说明如下 : D7 D6 D5 D4 D3 D2 D1 D0 PS PT1 PX1 PT0 PX0 其中 : PS 串行口中断优先级控制位 PS=1, 串行口定义为高优先级中断源 ;PS=0, 串行口定义为低优先级中断源 PT1 T1 中断优先级控制位 PT1=1, 定时器 / 计数器 1 定义为高优先级中断源 ;PT1=0, 定时器 / 计数器 1 定义为低优先级中断源 PX1 外部中断 1 中断优先级控制位 PX1=1, 外中断 1 定义为高优先级中断源 ;PX1=0, 外中断 1 定义为低优先级中断源 PT0 定时器 / 计数器 0(T0) 中断优先级控制位, 功能同 PT1 PX0 外部中断 0 中断优先级控制位 功能同 PX1 中断优先级控制寄存器 IP 中的各个控制位都可由编程来置位或复位 ( 用位操作指令或字节操作指令 ), 单片机复位后 IP 中各位均为 0, 各个中断源均为低优先级中断源 中断优先级结构 MCS-51 中断系统具有两级优先级 ( 由 IP 寄存器把各个中断源的优先级分为高优先级和低优先级 ), 它们遵循下列两条基本规则 : (1) 低优先级中断源可被高优先级中断源所中断, 而高优先级中断源不能被任何中断源所中断 ; (2) 一种中断源 ( 不管是高优先级或低优先级 ) 一旦得到响应, 与它同级的中断源不能再中断它 为了实现上述两条规则, 中断系统内部包含两个不可寻址的优先级状态触发器 其中一个用来指示某个高优先级的中断源正在得到服务, 并阻止所有其它中断的响应 ; 另一个触发器则指出某低优先级的中断源正得到服务, 所有同级的中断都被阻止, 但不阻止高优先级中断源 当同时收到几个同一优先级的中断时, 响应哪一个中断源取决于内部查询顺序 其优先级排列如下 : 中断源同级内的中断优先级外部中断 0 最高定时器 / 计数器 0 溢出中断外部中断 1 定时器 / 计数器 1 溢出中断串行口中断最低 中断响应 1 中断响应过程 CPU 在每个机器周期的 S5P2 时刻采样中断标志, 而在下一个机器周期对采样到的中断进行查询 如果在前一个机器周期的 S5P2 有中断标志, 则在查询周期内便会查询到并按优先级高低进行中断处理, 中断系统将控制程序转入相应的中断服务程序 下列三个条件中

5 任何一个都能封锁 CPU 对中断的响应 : (1)CPU 正在处理同级的或高一级的中断 ; (2) 现行的机器周期不是当前所执行指令的最后一个机器周期 ; (3) 当前正在执行的指令是返回 (RETI) 指令或是对 IE 或 IP 寄存器进行读 / 写的指令 上述三个条件中, 第二条是保证把当前指令执行完, 第三条是保证如果在当前执行的是 RETI 指令或是对 IE,IP 进行访问的指令时, 必须至少再执行完一条指令之后才会响应中断 中断查询在每个机器周期中重复执行, 所查询到的状态为前一个机器周期的 S5P2 时采样到的中断标志 这里要注意的是 : 如果中断标志被置位, 但因上述条件之一的原因而未被响应, 或上述封锁条件已撤消, 但中断标志位已不再存在 ( 已不再是置位状态 ) 时, 被拖延的中断就不再被响应,CPU 将丢弃中断查询的结果 也就是说,CPU 对中断标志置位后, 如未及时响应而转入中断服务程序的中断标志不作记忆 CPU 响应中断时, 先置相应的优先级激活触发器, 封锁同级和低级的中断 然后根据中断源的类别, 在硬件的控制下, 程序转向相应的向量入口单元, 执行中断服务程序 硬件调用中断服务程序时, 把程序计数器 PC 的内容压入堆栈 ( 但不能自动保存程序状态字 PSW 的内容 ), 同时把被响应的中断服务程序的入口地址装入 PC 中 五个中断源服务程序的入口地址是 : 中断源入口地址外部中断 H 定时器 0 溢出 000BH 外部中断 H 定时器 1 溢出 001BH 串行口中断 0023H 通常, 在中断入口地址处安排一条跳转指令, 以跳转到用户的服务程序入口 中断服务程序的最后一条指令必须是中断返回指令 RETI CPU 执行完这条指令后, 把响应中断时所置位的优先级激活触发器清 0, 然后从堆栈中弹出两个字节内容 ( 断点地址 ) 装入程序计数器 PC 中,CPU 就从原来被中断处重新执行被中断的程序 2 中断响应时间 外部中断 INT 0 和 INT 1 的电平在每个机器周期的 S5P2 时被采样并锁存到 IE0 和 IE1 中, 这个置入到 IE0 和 IE1 的状态在下一个机器周期才被查询电路查询, 如果产生了一个中断请求, 而且满足响应的条件,CPU 响应中断, 由硬件生成一条长调用指令转到相应的服务程序入口 这条指令是双机器周期指令 因此, 从中断请求有效到执行中断服务程序的第一条指令的时间间隔至少需要三个完整的机器周期 如果中断请求被前面所述的三个条件之一所封锁, 将需要更长的响应时间 若一个同级的或高优先级的中断已经在进行, 则延长的等待时间显然取决于正在处理的中断服务程序的长度, 如果正在执行的一条指令还没有进行到最后一个周期, 则所延长的等待时间不会超过三个机器周期, 这是因为 MCS-51 指令系统中最长的指令 (MUL 和 DIV) 也只有四个机器周期 ; 假若正在执行的是 RETI 指令或者是访问 IE 或 IP 指令, 则延长的等待时间不会超过五个机器周期 ( 为完成正在执行的指令还需要一个周期, 加上为完成下一条指令所需要的最长时间 四个周期, 如 MUL 和 DIV 指令 ) 因此, 在系统中只有一个中断源的情况下, 响应时间总是在三个机器周期到八个机器周期之间

6 3.1.5 外部中断触发方式 MCS-51 的外部中断 INTx ( INT 0 和 INT 1 ) 可以用程序控制为电平触发或负边沿触发 ( 通过编程对定时器 / 计数器控制寄存器 TCON 中的 IT0 和 IT1 位进行清 0 或置 1 ) 若 ITX(X=0,1) 为 0, 则外部中断 INTx 程控为电平触发, 由 INTx 引脚上所检测到 的低电平 ( 必须保持到 CPU 响应该中断时为止, 并且还应在中断返回前变为高电平 ) 触发 若 ITX=1, 则外部中断 INTx 由负边沿触发 即在相继的两个机器周期中, 前一个周期 从 INTx 引脚上检测到高电平, 而在后一个周期检测到低电平, 则置位 TCON 寄存器中的中断请求标志 IEX(IE0 或 IE1), 由 IEX 发出中断请求 由于外部中断引脚在每个机器周期内被采样一次, 所以中断引脚上的电平应至少保持 12 个振荡周期, 以保证电平信号能被采样到 对于负边沿触发方式的外部中断, 要求输入的负脉冲宽度至少保持 12 个振荡周期 ( 若晶振频率为 6MHz, 则宽度为 2μs), 以确保检测到引脚上的电平跳变, 而使中断请求标志 IEX 置位 对于电平触发的外部中断源, 要求在中断返回前撤销中断请求 ( 使引脚上的电平变高 ) 是为了避免在中断返回后又再次响应该中断而出错 电平触发方式适用于外部中断输入为低电平, 而且能在中断服务程序中撤销外部中断请求源的情况 (1) 电平触发即 8051 每执行完一个指令都将 INTx 的信号读入 IEX( INTx =0, IEX=1; INTx =1,IEX=0), 因此 IEX 的中断请求信号随着 INTx 变化 如果送入 INTx 的中断请求信号,8051 未能即时检查到, 而 INTx 的信号也产生变化,,IEX 的信号亦发生 变化, 这样就会漏掉 INTx 的中断要求 (2) 负边沿触发即只要检测到送至 INTx 上的信号由 1 变成 0 时, 中断请求标志位 IEX 就被设定为 1, 并且一直维持着 1, 直到此中断请求被接收为止, 且必须用软件来清除 IEX, 如 JBC IE1,LOOP 多个外部中断源系统设计 MCS-51 有两个外部中断源 INT 0 和 INT 1, 但在实际的应用系统中, 外部中断请求源往 往比较多, 下面讨论两种多中断源系统的设计方法 1 定时器中断作为外部中断使用把 MCS-51 的两个定时器 / 计数器 (T0 和 T1) 选择为计数器方式, 每当 P3.4(T0) 或 P3.5(T1) 引脚上发生负跳变时,T0 和 T1 的计数器加 1 利用这个特性, 可以把 P3.4 和 P3.5 引脚作为外部中断请求输入线, 而定时器的溢出中断作为外部中断请求标志 应用举例如下 : 设 T0 为方式 2( 自动装入常数 ) 外部计数方式, 时间常数为 0FFH, 允许中断, 并 CPU 开放中断 其初始化程序为 : MOV TMOD,#06H ; 数 B 送方式寄存器 TMOD 设 T0 为方式 2, 计数器方式工作 ;

7 MOV TL0,#0FFH MOV TH0,#0FFH ; 时间常数 0FFH 送 T0 的低 8 位 TL0 和高 8 位 TH0 寄存器 SETB TR0, ; 置 TR0 为 1, 启动 T0 MOV IE,#82H : ; 置中断允许, 即置中断允许寄存器 IE 中的 EA 位,ET0 位为 1 当接在 P3.4 引脚上的外部中断请求输入线发生负跳变时,TL0 加 1 溢出,TF0 被置 1 向 CPU 发出中断请求 同时 TH0 的内容自动送入 TL0, 使 TL0 恢复初始值 0FFH 这样, 每当 P3.4 引脚上有一次负跳变时都置 1 于 TF0, 向 CPU 发中断请求,P3.4 引脚就相当 于边沿触发的外部中断请求源输入线 同理, 也可以把 P3.5 引脚作类似的处理 中断和查询结合的方式这种方法是把系统中多个外部中断源按它们的重要程度进行排队, 把其中最高级别的中 断源接到 MCS-51 的一个外部中断源输入端 ( 例如接到 INT 0 脚 ), 其余的中断源用线 或 的方法连接到另一个外部中断输入端 ( INT 1 ), 并同时还接到一个 I/O 口, 如图 6-2 中接到 P1 口 中断请求由硬件电路产生, 而中断源的识别由程序查询来处理, 查询顺序由中断源 的优先级决定 图中为五个外部中断源的连接电路, 其中设备 1~4 经 OC 门与 INT 1 连接, 多个外部中断源系统设计并连接到 P1.0~P1.3, 均采用电平触发方式 设备 0 为最高级中断源, 单独作为外部中断 0 的输入信号 外部中断 1 的中断服务程序如下 : INTR: PUSH PSW ; 程序状态字 PSW 内容压入堆栈保存

8 PUSH A ; 累加器 A 内容压入堆栈保存 JNB P1.0,DVT1 ; P1.0 引脚为 0, 转至设备 1 中断服务程序 JNB P1.1,DVT2 ; P1.1 引脚为 0, 转至设备 2 中断服务程序 JNB P1.2,DVT3 ; P1.2 引脚为 0, 转至设备 3 中断服务程序 JNB P1.3,DVT4 ; P1.3 引脚为 0, 转至设备 4 中断服务程序 INTR1:POP A ; 压入堆栈的内容送回到 A POP PSW ; 恢复程序状态字 PSW 的内容 RETI ; 中断返回 DVT1: DVT2: DVT3: DVT4: ; 设备 1 中断服务程序入口 AJMP INTR1 ; 跳转到 INTR1 所指示的指令 ; 设备 2 中断服务程序入口 AJMP INTR1 ; 跳转到 INTR1 ; 设备 3 中断服务程序入口 AJMP INTR1 ; 跳转到 INTR1 ; 设备 4 中断服务程序入口 AJMP INTR1 ; 跳转到 INTR MCS-51 对中断请求的撤除 在中断请求被响应前, 中断源发出的中断请求是由 CPU 锁存在特殊功能寄存器 TCON 和 SCON 的相应中断标志位中的 一旦某个中断请求得到响应,CPU 必须把它的相应中断 标志位复位成 0 状态 否则,MCS-51 就会因为中断标志位未能得到及时撤除而重复响 应同一中断请求, 这是绝对不能容许的 和 8751 有 5 个中断源, 但实际. 分属于三种中断类型 这三种类型是 : 外部 中断 定时器溢出中断和串行口中断 对于这三种中断类型的中断请求, 其撤除方法是不相同的 现对它们分述如下 : 定时器溢出中断请求的撤除 TF0 和 TF1 是定时器溢出中断标志位 ( 见 TCON), 它们因定时器溢出中断源的中断请求的输入而置位, 因定时器溢出中断得到响应而自动复位成 0 状态 因此, 定时器溢出中断源的中断请求是自动撤除的, 用户根本不必专门为它们撤除 串行口中断请求的撤除 TI 和 RI 是串行口中断的标志位 ( 见 SCON), 中断系统不能自动将它们撤除, 这是因为 MCS-51 进入串行口中断服务程序后常需要对它们进行检测, 以测定串行口发生了接收中断还是发送中断 为防止 CPU 再次响应这类中断, 用户应在中断服务程序的适当位置处通过如下指令将它们撤除 CLR TI ; 撤除发送中断 CLR RI ; 撤除接收中断若采用字节型指令, 则也可采用如下指令 : ANL SCON,#0FCH; 撤除发送和接收中断 1 外部中断请求的撤除外部中断请求有二种触发方式 ; 电平触发和负边沿触发 对于这两种不同的中断触发方式,MCS-51 撤除它们的中断请求的方法是不相同的 在负边沿触发方式下, 外部中断标志 IE0 或 IE1, 是依靠 CPU 两次检测 INT 0 或 INT1

9 上触发电平状态而置位的 因此, 芯片设计者使 CPU 在响应中断时自动复位 IE0 或 IE1 就 可撤除 INT 0 或 INT 1上的中断请求, 因为外部中断源在得到 CPU 的中断服务时是不可能再 在 INT 0 或 INT 1上产生负边沿而使中断标志位 IE0 或 IE1 置位的 在电平触发方式下, 外部中断标志 IE0 或 IE1 是依靠 CPU 检测 INT 0 或 INT 1上低电平 而置位的 尽管 CPU 响应中断时相应中断标志 IE0 或 IE1 能自动复位成 0 状态, 但若外 部中断源不能及时撤除它在 INT 0 或 INT 1上低电平, 就会再次使已经变成 0 的中断标志 IE0 或 IE1 置位, 这是绝对不能允许的 因此, 电平触发型外部请求的撤除必须使 INT 0 或 INT 1上低电平随着其中断被 CPU 响应而变成高电平 一种可供采用的电平型外部中断的 撤除电路如图 6-4 所示 由图可见, 当外部中断源产生中断请求时,Q 触发器复位成 0 状态,Q 端的低电平被送到 INT 0 端, 该低电平被 8031 检测到后就使中断标志 IE0 置 响应 INT 0 上中断请求便可转入 INT 0 中断服务程序执行, 故我们可以在中断服务程序 开头安排如下程序来撤除 INT 0 上低电平 INSVR:ANL P 1,#0FEH ORL P 1,#01H CLR IE 0.. 图 6-3 电平外部中断的撤除电路 8051 执行上述程序就可在 P1.0 上产生一个宽度为二个机器周期的负脉冲 在该负脉冲 作用下,Q 触发器被置位成 1 状态, INT 0 上电平也因此而变高, 从而撤除了其上的中 断请求 MCS-51 中断系统的初始化 MCS-51 中断系统功能, 是可以通过上述特殊功能寄存器统一管理的, 中断系统初始化是指用户对这些特殊功能寄存器中的各控制位进行赋值

10 1 中断系统初始化 中断系统初始化步骤如下 : ⑴ 开相应中断源的中断 ; ⑵ 设定所用中断源的中断优先级 ; ⑶ 若为外部中断, 则应规定低电平还是负边沿的中断触发方式 例请写出 INT 1为低电平触发的中断系统初始化程序 解 :1 采用位操作指令 SETB EA SETB EX 1 ; 开 INT 1中断 SETB PX 1 ; 令 INT 1为高优先级 CLR IT 1 ; 令 INT 1为电平触发 2 采用字节型指令 MOV IE,#84H ; 开 INT 1中断 ORL IP,#04H ; 令为 INT 1高优先级 ANL TCON,#0FBH ; 令为 INT 1电平触发 显然, 采用位操作指令进行中断系统初始化是比较简单的, 因为用户不必记住各控制位寄存器中的确切位置, 而各控制位名称是比较容易记忆的 2 外部中断设定的步骤 : 1 ORG 03H(13H) INT 0 ( INT 1 ) 外部中断的起始地址 2 JMP EXT 中断时跳至中断子程序 EXT 3 MOV IE,# BH INT 0 中断使能 MOV IE,# BH INT 1 中断使能 4 MOV IP,# BH INT 0 中断优先 MOV IP,# BH INT 1 中断优先 5 MOV TCON,# B( 设定 INT 0 为电平触发 ) MOV TCON,# B( 设定 INT 0 为负边沿触发 ) MOV TCON,# B( 设定 INT 1 为电平触发 ) MOV TCON,# B( 设定 INT 1 为负边沿触发 )

11 3 TIMER0 或 TIMER1 的中断请求当计数溢出时会设定 TFX=1, 而对 8051 提出中断请求 TIMER0 或 TIMER1 中断请求设定的步骤如下 : ⑴ 定中断起始地址 ORG 0BH ;TIMER0 ORG 1BH ;TIMER1 ⑵ 定工作方式 MOV TMOD,#XXXXXXXXB ⑶ 设定计数值 MOV THX,#XXXX MOV TLX,#XXXX ⑷ 设定中断使能 MOV IE,#1000X0X0 为了让学生理解中断的全过程, 可在全软件仿真中调试如下程序, 调试时打开硬件 P1 P3 口仿真图, 置 P3.2 为低电平时, 才能进入中断服务程序, P1 口指示灯移位程序, 中断一次指示灯移动一位 若不变低, 程序就不向下执行 ORG 0000H AJMP MAIN ORG 0003H AJMP WINT ORG 0100H MAIN: MOV A,#01H SETB IT0 SETB EX0 SETB EA LOOP: AJMP LOOP ORG 0200H WINT: PUSH ACC PUSH PSW MOV P1,A RL A POP PSW POP ACC RETI END 3.2 工作任务描述 设计一个简单交通灯模拟控制系统, 硬件电路如图所示, 假设晶体振荡器为 12MHz, 实现用单片机控制发光二极管, 模拟一个简单的十字路口交通灯的工作 交通灯的工作规律 : 十字路口是东西南北走向, 每一个时刻每一方向只能有一个灯亮, 初始状态为东西南北红灯均亮 ;1 秒后转入南北绿灯亮, 东西红灯亮 ; 延迟 20 秒, 转入南北黄灯亮, 东西红灯亮 ;5 秒后转入东西绿灯亮, 南北红灯亮 ;20 秒后转入东西黄灯亮, 南北红灯亮 ;5 秒后转入南北绿灯亮, 东西黄灯亮, 进入循环

12 3.3 工具 设备及材料 工具 : 电烙铁, 吸锡器, 镊子, 剥线钳, 尖嘴钳, 斜口钳设备 : 万用表, 计算机,ISP 下载线, 编程器材料 :AT89C51 单片机 ( 或 STC12C2052) 一块, 不同颜色的 LED 发光二极管八只, 按钮一个, 面包板一块, 万用电路板一块, 电池盒, 导线若干, 晶体振荡器一个, 电阻, 电容, 焊锡丝, 松香等 3.4 操作步骤 1 任务分析仿真电路中画出十字路口的图形, 对二极管的控制采用查表的方式完成, 预先编写好控制字 ; 时间的定时采用定时器中断, 定时中断时间 50ms 2 硬件设计首先进行软件仿真, 仿真通过后, 在面包板上或万用板上合理地布置电路所需的元器件

13 3 软件编程与编译在计算机中打开编程软件 (Proteus 和 Keil C51), 输入程序, 并将程序编译至没有错误 4 编程下载通过编译器, 将 HEX 文件写入 AT89C51 芯片 5 系统调试 (1) 在 Proteus 仿真软件上进行交通灯的系统调试 (2) 通过面包板进行电路制作, 并调试 3.5 任务单 任务单 任务名称 学时 班级 学生姓名 学生学号 任务成绩 材料设备 实训场地 日期 客户任务 1 使用 Proteus 完成交通灯仿真电路的制作与程序编写 2 使用面包板完成交通灯电路制作与调试 1 通过本次学习任务的实施, 理解单片机的中断, 掌握使用单片机内部定时 任务目的 器的方法 2 培养学生独立自主的学习能力 3 培养学生团队协作的精神和刻苦钻研的精神 资讯 1 单片机的中断系统 2 C51 和汇编语言 3 单片机开发工具使用 决策计划 项目决策 : 1 分小组讨论, 分析任务 2 查找资料, 确定方案 3 每组选派一位同学汇报决策结果 项目计划 : 1 根据操作要求, 使用相关知识和工具完成相关内容

14 2 列出设计交通灯控制系统时需要注意的问题 3 详细记录任务实施过程实施 1 确定系统设计方案 2 硬件电路设计, 程序设计, 电路仿真 3 在面包板上完成电路制作与系统调试 4 对整个工作过程进行完整的记录检查评价项目检查 : 1 学生填写检查单 2 教师填写评价表项目评估 : 1 小组讨论, 自我评述完成情况及发生的问题, 并将问题写入汇报材料 2 小组共同给出提高效率的建议, 并将问题写入汇报材料 3 小组准备汇报材料, 每组选派一人进行汇报 4 整理相关资料, 列表说明项目资料及资料来源 3.6 考核标准 考核标准 序号 工作过程 主要内容评分标准配分 学生自评 教师 查找相关知识, 该任务知识掌握程度达到 60% 扣 5 分 1 资讯 任务相关知识查找 查找相关知识, 该任务知识掌握程度达到 80% 扣 2 分 10 2 决策计划 3 实施 4 检查评价 5 职业规范 查找相关知识, 该任务知识掌握程度达到 90% 扣 1 分 制定整体设计方案, 在实施过程中修改一 确定方案次扣 2 分编写计划制定实施方案, 在实施过程中修改一次扣 10 2 分 实施过程中, 步骤记录不完整度达到 10% 扣 2 分 记录实施实施过程中, 步骤记录不完整度达到 20% 过程步骤扣 3 分 10 实施过程中, 步骤记录不完整度达到 40% 扣 5 分 小组讨论 完成情况和效率 5 整理资料 规则标准和及其他资料整理 10 电路设计 电路设计可靠 合理 10 程序设计 程序设计结构合理 10 系统调试 正确制作调试出交通灯电路 25 安全生产 安全文明操作规程, 学习纪律性 3 组织协调 团队协作, 爱岗敬业, 吃苦耐劳 3

15 团队合作 交流表达 用专业语言正确流利简述任务成果 4 合计 100 任务 2 LED 数码管显示 教学目的知识能力 : 掌握 LED 数码管的结构和原理, 能够正确使用技能能力 : 通过本次学习任务的实施, 能够掌握数码管的使用方法社会能力 : 培养学生的自主学习能力和爱岗敬业 吃苦耐劳 团队协作的精神 3.7 数码管的结构 一 7 段数码管的结构与工作原理 7 段数码管一般由 8 个发光二极管组成, 其中由 7 个细长的发光二极管组成数字显示, 另外一个圆形的发光二极管显示小数点 当发光二极管导通时, 相应的一个点或一个笔画发光 控制相应的二极管导通, 就能显示出各种字符, 尽管显示的字符形状有些失真, 能显示的数符数量也有限, 但其控制简单, 使有也方便 发光二极管的阳极连在一起的称为共阳极数码管, 阴极连在一起的称为共阴极数码管, 如图 4.9 所示 共阴极 7 段数码管内部字段 LED 和引脚分布 共阳极 二 7 段数码管驱动方法发光二极管 (LED 是一种由磷化镓 (GaP) 等半导体材料制成的, 能直接将电能转变成光能的发光显示器件 当其内部有一一电流通过时, 它就会发光 7 段数码管每段的驱动电流和其他单个 LED 发光二极管一样, 一般为 5~10mA; 正向电压随发光材料不同表现为 1.8~2.5V 不等

16 7 段数码管的显示方法可分为静态显示与动态显示, 下面分别介绍 (1) 静太显示所谓静态显示, 就是当显示某一字符时, 相应段的发光二极管恒定地寻能可截止 这种显示方法为每一们都需要有一个 8 位输出口控制 对于 51 单片机, 可以在并行口上扩展多片锁存 74LS573 作为静态显示器接口 静态显示器的优点是显示稳定, 在发光二极管导通电注一定的情况下显示器的亮度高, 控制系统在运行过程中, 仅仅在需要更新显示内容时,CPU 才执行一次显示更新子程序, 这样大大节省了 CPU 的时间, 提高了 CPU 的工作效率 ; 缺点是位数较多时, 所需 I/O 口太多, 硬件开销太大, 因此常采用另外一种显示方式 动态显示 (2) 动态显示所谓动态显示就是一位一位地轮流点亮各位显示器 ( 扫描 ), 对于显示器的每一位而言, 每隔一段时间点亮一次 虽然在同一时刻只有一位显示器在工作 ( 点亮 ), 但利用人眼的视觉暂留效应和发光二极管熄灭时的余辉效应, 看到的却是多个字符 同时 显示 显示器亮度既与点亮时的导通电流有关, 也与点亮时间和间隔时间的比例有关 调整电流和时间参烽, 可实现亮度较高较稳定的显示 若显示器的位数不大于 8 位, 则控制显示器公共极电位只需一个 8 位 I/O 口 ( 称为扫描口或字位口 ), 控制各位 LED 显示器所显示的字形也需要一个 8 位口 ( 称为数据口或字形口 ) 动态显示器的优点是节省硬件资源, 成本较低, 但在控制系统运行过程中, 要保证显示器正常显示,CPU 必须每隔一段时间执行一次显示子程序, 这占用了 CPU 的大量时间, 降低了 CPU 工作效率, 同时显示亮度较静态显示器低 综合以上考虑, 由于温度显示为精确到小数点后两位, 故只需 4 个数码管, 又考虑到 CPU 工作效率与电源效率, 本毕业设计采用静态显示 为共阳极显示 三 硬件编码 74LS47 是一款 BCD 码转揣为 7 段输出的集成电路芯片, 利用它可以直接驱动共阳极的 7 段数码管 它的引脚分部和真值表分别下图

17 上述设计中, 单片机工作时钟由 MHZ 的晶振产生 ; 电路中共有 4 位 7 段共阳 极数码管, 用于显示数据 ; 74HC573 是 8 位锁存器, 用于锁存各个数码管的显示数据 ;74HC138 是 3 8 线译 码器, 它和 6 反相器 74HC04 一起实现地各个数码管的锁存器控制地址的译码 四 软件设计 上述设对于共阳极数码管, 控制端置 0 使数码管点亮, 控制端置 1 使数码管不亮 根 据显示数字 0~9 需要点亮哪几段发光二极管, 可以制定出如下表所示的编码表 字型 dp g f e d c b a 编码共阴 编码共阳 F C C F E A D B B A B E F F F A0 A F 90 B C CC D C 83 E C F C

18 3.8 数码管的初步应用 一 任务描述利用仿真软件进行数码管的简单显示 使用单个数码管, 利用定时器, 定时时间 1 秒钟, 使数码管显示的数值每隔一秒钟加 1 电路如图所示: 二 程序编写 ORG 0000H LJMP MAIN ORG 000BH LJMP T00 ;===================== ORG 0030H MAIN: SETB EA SETB ET0 MOV TMOD,#06H MOV R7,#0 MOV TL0,#0FEH MOV TH0,#0FEH MOV DPTR,#TABLE SETB TR0 SJMP $ ;==================== T00: MOV A,R7 MOVC A,@A+DPTR

19 MOV P1,A INC R7 CJNE R7,#10,NEXT MOV R7,#0 NEXT: RETI TABLE: DB 0C0H,0F9H,0A4H,0B0H,99H DB 92H,82H,0F8H,80H,90H END 3.9 LED 数码管显示 一 任务分析 P0 端口接动态数码管的字形码笔段,P2 端口接动态数码管的数位选择端, P1.7 接一个开关, 当开关接高电平时, 显示 HELLO 字样 ; 当开关接低电平时, 显示 字样 二 电路设计 根据任务要求, 在仿真软件上设计电路, 如上图所示三 程序设计 1 程序设计流程图如下图所示:

20 2 程序 *********** 汇编语言源程序 *************** ORG 00H START: JB P1.7,DIR1 MOV DPTR,#TABLE1 SJMP DIR DIR1: MOV DPTR,#TABLE2 DIR: MOV R0,#00H MOV R1,#0FEH ; R1 为 FEH 即 NEXT: MOV A,R0 ;A 中为 :00H P0 为 00H MOVC A,@A+DPTR ;A 中为 :76H MOV P0,A ; P0 为 76H MOV A,R1 ;A 中为 :FEH MOV P2,A ; P2 为 FEH LCALL DAY MOV P2,#0FFH ; P2 为 FFH INC R0 RL A ; A 中为 :FDH 累加器左循环移位 MOV R1,A ; R1 为 FDH CJNE R1,#0DFH,NEXT 左移前为 , 所以 R1 共左移 5 次 SJMP START DAY: MOV R6,#4 ; 当把 #4 改为 #255 可以明显看到各数码管的轮流点亮 D1: MOV R7,#248

21 DJNZ R7,$ DJNZ R6,D1 RET TABLE1: DB 06H,5BH,4FH,66H,6DH ; 显示 字样 ( 共阴 ) TABLE2: DB 78H,79H,38H,38H,3FH ; 改为 TABLE2: DB 76H,79H,38H,38H,3FH 显 示 HELLO 字样 ***********C51 源程序 *************** #include <AT89X51.H> unsigned char code table1[]={0x06,0x5b,0x4f,0x66,0x6d}; unsigned char code table2[]={0x76,0x79,0x38,0x38,0x3f}; unsigned char i; unsigned char a,b; /* char 为 1 个字节储存空间 */ unsigned char temp; void main(void) { while(1) { temp=0xfe; /*temp 同上面的 P1*/ for(i=0;i<5;i++) { if(p1_7==1) { P0=table1[i]; } else { P0=table2[i]; } P2=temp; a=temp<<(1); /* 以下 3 句为 temp 左移, 原句 a=temp<<(j+1);*/ b=temp>>(7); temp=a b; for(a=4;a>0;a--) for(b=248;b>0;b--){} P2=0xff; } } } 四 系统调试 将编写好的程序放在仿真软件中调试

22 3.10 任务单 任务单任务名称学时班级学生姓名学生学号任务成绩材料设备实训场地日期 1 使用 Proteus 完成 LED 数码管动态显示的设计与程序调试客户任务 2 使用掌握汇编语言与 C51 两种语言的编程 1 通过本次学习任务的实施, 了解 LED 数码管的结构和驱动方法, 掌握动态显示的含义和使用, 掌握程序的设计方法, 锻炼系统调试能力任务目的 2 培养学生独立自主的学习能力 3 培养学生团队协作的精神和刻苦钻研的精神资讯 1 LED 数码管的结构 2 静态显示与动态显示 3 C51 和汇编语言 4 单片机开发工具使用决策计划项目决策 : 1 分小组讨论, 分析任务 2 查找资料, 确定方案 3 每组选派一位同学汇报决策结果项目计划 : 1 根据操作要求, 使用相关知识和工具完成相关内容 2 列出设计 LED 数码管动态显示控制系统时需要注意的问题 3 详细记录任务实施过程实施 1 确定系统设计方案 2 硬件电路设计, 程序设计, 电路仿真 3 对整个工作过程进行完整的记录检查评价项目检查 : 1 学生填写检查单 2 教师填写评价表项目评估 : 1 小组讨论, 自我评述完成情况及发生的问题, 并将问题写入汇报材料 2 小组共同给出提高效率的建议, 并将问题写入汇报材料 3 小组准备汇报材料, 每组选派一人进行汇报 4 整理相关资料, 列表说明项目资料及资料来源

23 3.11 考核标准 考核标准序工作主要内容评分标准配分号过程查找相关知识, 该任务知识掌握程度达到 60% 扣 5 分 1 资讯 10 查找相关知识, 该任务知识掌握程度达到 90% 扣 1 分制定整体设计方案, 在实施过程中修改一 分实施过程中, 步骤记录不完整度达到 10% 扣 2 分 3 实施 10 实施过程中, 步骤记录不完整度达到 40% 扣 5 分小组讨论完成情况和效率 5 整理资料规则标准和及其他资料整理 10 检查 4 电路设计电路设计可靠 合理 10 评价程序设计程序设计结构合理 10 系统调试正确制作调试出 LED 显示电路 25 职业安全生产安全文明操作规程, 学习纪律性 3 规范 5 组织协调团队协作, 爱岗敬业, 吃苦耐劳 3 团队合作交流表达用专业语言正确流利简述任务成果 4 合计 100 任务相关决策记录实施查找相关知识, 该任务知识掌握程度达到确定方案实施过程中, 步骤记录不完整度达到 20% 次扣 2 分知识查找计划过程步骤 80% 扣 2 分编写计划扣 3 分制定实施方案, 在实施过程中修改一次扣 学生自评 教师

24 任务 3 带倒计时的交通灯 教学目的知识能力 : 掌握 LED 数码管的使用, 掌握定时器的使用, 掌握中断的使用, 掌握程序的编写方法技能能力 : 通过本次学习任务的实施, 能够综合掌握电路的设计和程序的编写社会能力 : 培养学生的自主学习能力和爱岗敬业 吃苦耐劳 团队协作的精神 3.12 带倒计时的交通灯 一 任务分析在任务 1 的基础上, 设计一个带倒计时显示的交通灯电路, 东西方向和南北方向的红 绿灯点亮时间各个小组根据情况自定义, 黄的不要求闪烁 二 电路设计 具体操作方法 : 1 图中按键都为脉冲式按键, 开关都为电平式按键 2 自定义各方向通行时间时, 按 设定时间 键开始设定, 通过 高峰控制 键控制当前设定的时间是正常时段的还是高峰时段的, 高电平为正常时段, 低电平为高峰时段 通过 选择方向 键选择当前设定的时间是南北方向的还是东西方向的, 高电平为南北方向, 低电平为东西方向 通过 时间加一 键使当前时间加 1 当确定当前设定的时间为所想要设置的时间时, 按 确定设时 键可以确定 要结束设置时, 按 设定结束 可以结束设置 三 程序编写 DISPLAYNUM EQU 51H ; 定义显示倒计时寄存器

25 COUNTER EQU 52H ; 定义计时循环次数寄存器 NSNORM EQU 53H ; 定义正常时南北通行时间寄存器 EWNORM EQU 54H ; 定义正常时东西通行时间寄存器 NSPEAK EQU 55H ; 定义高峰时南北通行时间寄存器 EWPEAK EQU 56H ; 定义高峰时东西通行时间寄存器 NSPUT EQU 57H ; 南北重置缓存 EWPUT EQU 58H ; 东西重置缓存 DIRECTION EQU 00H ; 方向标志 DISPLAYYELLOW EQU 01H ; 显示黄灯标志 ONESECOND EQU 02H ; 计时到一秒标志 SETNEW EQU 03H ; 重新设置标志 LOADNEWFLAG EQU 04H ; 确定输入标志 NR EQU P0.0 ; 南北方向红灯 NY EQU P0.1 ; 南北方向黄灯 NG EQU P0.2 ; 南北方向绿灯 ER EQU P0.3 ; 东西方向红灯 EY EQU P0.4 ; 东西方向黄灯 EG EQU P0.5 ; 东西方向绿灯 BREAKRULE EQU P2.0 ; 交通违章模拟信号输入 SOUND EQU P2.1 ; 警报声输出信号 PEAKorNORM EQU P2.2 ; 高峰信号输入 ALLSTOP EQU P2.3 ; 全部禁行输入 ALLGO EQU P2.4 ; 全部通行输入 NSTHROUGH EQU P2.5 ; 南北通行输入 EWTHROUGH EQU P2.6 ; 东西通行输入 SELECTDIR EQU P2.7 ; 方向选择输入 ORG 0000H LJMP MAIN ; 跳转到主函数 ORG 0003H LJMP RESET ; 跳转到设定时间子函数 ORG 000BH LJMP TIME ; 跳转到定时子函数 ORG 001BH LJMP DOG ; 看门狗子程序 ORG 0100H MAIN: MOV NSNORM,#28H ; 主程序开始, 各方向寄存器赋初值 MOV EWNORM,#14H MOV NSPEAK,#1EH MOV EWPEAK,#0AH REMAIN:MOV COUNTER,#0AH ; 设置计数 1 秒的循环次数为 10 SETB DIRECTION ; 设置通车方向标志, 默认从南北方向开始 CLR DISPLAYYELLOW ; 设定各个标志 寄存器的初值 CLR ONESECOND CLR SETNEW

26 CLR SOUND JNB PEAKorNORM,PEAKINPUT MOV NSPUT,NSNORM MOV EWPUT,EWNORM SJMP DISPLAYNUMPUT PEAKINPUT: MOV NSPUT,NSPEAK MOV EWPUT,EWPEAK DISPLAYNUMPUT: MOV DISPLAYNUM,NSPUT ; 设置倒计时初值 MOV SP,#5FH ; 设置堆栈内第一个数位置为 60H MOV TMOD,#11H ;T0 设置为方式 1 定时,T1 设置为方式 1 定 时 LCALL FEEDDOG ; 喂狗 MOV TL0,#0B0H ; 为 T0 设置初值, 使定时为 0.1 秒 MOV TH0,#3CH START: SETB EX0 ; 开中断 0 SETB IT0 ; 设置中断 0 为跳沿触发 SETB TR0 ; 启动 T0 SETB ET0 ; 允许 T0 中断 SETB ET1 ; 开中断 1, 用于看门狗 SETB PX0 ; 设置 " 设定时间 " 中断为高级中断 CLR PT0 ; 设置定时器为低级中断 SETB PT1 ; 设置看门狗中断为高级中断 SETB EA ;CPU 开放中断 LCALL LIGHT ; 开始显示交通灯 倒计时 LCALL DISPLAYLED ; 重定时检测 LOOP: LCALL FEEDDOG ; 喂狗 JB SETNEW,REMAIN ; 检测是否已经重新设定时间 ; 计时到 1 秒检测 JNB ONESECOND,ALLRED CLR ONESECOND DEC DISPLAYNUM MOV A,DISPLAYNUM CJNE A,#02H,EQ0 SETB DISPLAYYELLOW LCALL LIGHT LJMP NUMDECLINE EQ0: CJNE A,#00H,NUMDECLINE CLR DISPLAYYELLOW CPL DIRECTION LCALL LIGHT ;LED 交通灯显示 LCALL RELOAD NUMDECLINE: LCALL DISPLAYLED ; 显示倒计时剩余时间 ; 全部禁行

27 ALLRED:JB ALLSTOP,NSCLEAR ; 判断是否全部禁止 CLR TR0 ; 停止计时 SETB NR ; 都亮红灯 CLR NY CLR NG SETB ER CLR EY CLR EG ALLREDWAIT: LCALL FEEDDOG ; 等待恢复 JB ALLSTOP,ALLREDBACK SJMP ALLREDWAIT ALLREDBACK: SETB TR0 ; 继续计时, 禁止前交通情况 LCALL LIGHT ; 南北通行 NSCLEAR: JB NSTHROUGH,EWCLEAR ; 判断是否南北通行 CLR TR0 ; 停止计数 CLR NR ; 南北方向通行 CLR NY SETB NG SETB ER CLR EY CLR EG NSCLEARWAIT: LCALL FEEDDOG ; 等待恢复 JB NSTHROUGH,NSCLEARBACK SJMP NSCLEARWAIT NSCLEARBACK: SETB TR0 ; 继续计时, 恢复以前交通情况 LCALL LIGHT ; 东西通行 EWCLEAR: JB EWTHROUGH,ALLYELLOW ; 判断是否东西通行 CLR TR0 ; 停止计数 SETB NR ; 东西通行 CLR NY CLR NG CLR ER CLR EY SETB EG EWCLEARWAIT: LCALL FEEDDOG ; 等待恢复 JB EWTHROUGH,EWCLEARBACK SJMP EWCLEARWAIT EWCLEARBACK: SETB TR0 ; 继续计时, 恢复以前交通情况 LCALL LIGHT SJMP ALLYELLOW ; 全部通行 NEXT: LJMP CHECKRULE

28 ALLYELLOW: JB ALLGO,NEXT ; 判断是否全部通行 CLR TR0 ; 停止计时 CLR NR ; 全部通行 SETB NY CLR NG CLR ER SETB EY CLR EG ALLYELLOWWAIT: LCALL FEEDDOG ; 等待恢复, 并检测是否有全部禁行 南北通行 东西通行等信号 JB ALLGO,ALLYELLOWBACK JB ALLSTOP,NSC ; 全部禁行 SETB NR CLR NY CLR NG SETB ER CLR EY CLR EG WAITA: LCALL FEEDDOG ; 等待恢复全部通行 JB ALLSTOP,BACKA SJMP WAITA BACKA: CLR NR ; 恢复全部通行 SETB NY CLR NG CLR ER SETB EY CLR EG NSC: JB NSTHROUGH,EWC ; 南北通行 CLR NR CLR NY SETB NG SETB ER CLR EY CLR EG WAITN: LCALL FEEDDOG ; 等待恢复全部通行 JB NSTHROUGH,BACKN SJMP WAITN BACKN: CLR NR ; 恢复全部通行 SETB NY CLR NG CLR ER SETB EY CLR EG EWC: JB EWTHROUGH,ALLYELLOWWAIT ; 东西通行

29 SETB NR CLR NY CLR NG CLR ER CLR EY SETB EG WAITE: LCALL FEEDDOG ; 等待恢复全部通行 JB EWTHROUGH,BACKE SJMP WAITE BACKE: CLR NR ; 恢复全部通行 SETB NY CLR NG CLR ER SETB EY CLR EG LJMP ALLYELLOWWAIT ALLYELLOWBACK: SETB TR0 ; 恢复以前的交通情况 LCALL LIGHT ; 警报检测 CHECKRULE: JB BREAKRULE,CLEARSOUND CPL SOUND ; 产生警报脉冲 LJMP SETPEAK CLEARSOUND: CLR SOUND ; 停止报警 ; 高峰检测 SETPEAK: JNB PEAKorNORM,LOADPEAK MOV NSPUT,NSNORM ; 正常时段 MOV EWPUT,EWNORM LJMP LOOP LOADPEAK: MOV NSPUT,NSPEAK ; 高峰时段 MOV EWPUT,EWPEAK LJMP LOOP ; T0 中断, 用于定时 TIME: CLR EA ; 保护现场 PUSH Acc PUSH PSW SETB EA MOV TL0,#0B0H ; 重置计数器初值 MOV TH0,#3CH DJNZ COUNTER,TIMERET ; 判断是否满 1 秒 SETB ONESECOND MOV COUNTER,#0AH ; 重新装入循环次数 TIMERET:CLR EA ; 恢复现场 POP PSW POP Acc

30 SETB EA RETI ; 返回中断 ; T1 中断, 用于看门狗 DOG: POP Acc ; 看门狗 POP Acc CLR A PUSH Acc ; 复位程序, 从 0000H 开始 PUSH Acc RETI ; 重设时间 RESET: CLR ES ; 关闭所有中断 CLR ET1 CLR EX1 CLR ET0 CLR EX0 PUSH Acc ; 保护现场 PUSH PSW SETB LOADNEWFLAG ; 设置 " 确定输入标志 " CLR TR0 ; 停止两定时器 CLR TR1 MOV TMOD,#51H ; 设置定时器 / 计数器 1 为方式 1 计数 SETB TR1 ; 开始计数 SETB NR ; 全部禁止通行 CLR NY CLR NG SETB ER CLR EY CLR EG BEGIN: MOV TL1,#00H ; 设置计数初值 MOV TH1,#00H OBSERVE: MOV DISPLAYNUM,TL1 ; 显示当前设置的时间 LCALL DISPLAYLED RESETWAIT: JNB P3.4,TERMINATE ; 等待停止设定信号 JNB P3.3,LOADNEW ; 等待确定信号 SETB LOADNEWFLAG JNB P3.5,OBSERVE ; 计数器加一时, 用于同步显示当前数值 SJMP RESETWAIT LOADNEW: JNB LOADNEWFLAG,RESETWAIT ; 确定将当前数值放入各方向 各时 段寄存器 JNB PEAKorNORM,PEAKSELECT ; 判断当前设定时间是否为高峰值 JNB SELECTDIR,EWN ; 判断当前设定时间是哪个方向的 MOV NSNORM,TL1 ; 将设定值放入南北方向正常寄存器 CLR LOADNEWFLAG LJMP BEGIN

31 EWN: MOV EWNORM,TL1 ; 将设定值放入东西方向正常寄存器 CLR LOADNEWFLAG LJMP BEGIN PEAKSELECT: JNB SELECTDIR,EWP MOV NSPEAK,TL1 ; 将设定值放入南北方向高峰寄存器 CLR LOADNEWFLAG LJMP BEGIN EWP: MOV EWPEAK,TL1 ; 将设定值放入东西方向高峰寄存器 CLR LOADNEWFLAG LJMP BEGIN TERMINATE: POP PSW ; 中断返回, 恢复现场 POP Acc SETB ES ; 恢复所有中断 SETB ET1 SETB EX1 SETB ET0 SETB EX0 SETB SETNEW ; 设置重新设置标志 RETI ; 倒计时显示 DISPLAYLED: MOV B,#10 ; 将十六进制数转换为 BCD 码 MOV A,DISPLAYNUM DIV AB SWAP A ADD A,B MOV P1,A ; 将显示 BCD 码从 P1 口输出 RET ; 交通灯显示 LIGHT: JNB DIRECTION,EWLIGHT ; 判断当前通行方向 JNB DISPLAYYELLOW,SE01 ; 判断是否显示黄灯 CLR NR ; 南北方向显示黄灯, 东西方向显示红灯 SETB NY CLR NG SETB ER CLR EY CLR EG LJMP LIGHTRET SE01: CLR NR ; 南北方向显示绿灯, 东西方向显示红灯 CLR NY SETB NG SETB ER CLR EY CLR EG LJMP LIGHTRET

32 EWLIGHT: JNB DISPLAYYELLOW,SE02 ; 判断是否显示黄灯 SETB NR ; 南北方向显示红灯, 东西方向显示黄灯 CLR NY CLR NG CLR ER SETB EY CLR EG LJMP LIGHTRET SE02: SETB NR ; 南北方向显示红灯, 东西方向显示绿灯 CLR NY CLR NG CLR ER CLR EY SETB EG LIGHTRET: ; 子程序返回 RET ; 重载倒计时缓存 RELOAD:JNB DIRECTION,SE03 ; 判断当前方向 MOV DISPLAYNUM,NSPUT ; 南北方向缓存放入显示寄存器 SJMP RELOADRET SE03: MOV DISPLAYNUM,EWPUT ; 东西方向缓存放入显示寄存器 RELOADRET: RET ; 喂狗 FEEDDOG: MOV TH1,#01BH ;" 喂狗 ", 重置定时器初值 MOV TL1,#0E0H SETB TR1 ; 重新启动 T1 RET ; END 四 系统调试将设计好的程序装入仿真电路中, 进行软 硬件联调, 直至满足任务要求 3.13 任务单 任务单 任务名称 学时 班级 学生姓名 学生学号 任务成绩 材料设备 实训场地 日期 客户任务 1 使用 Proteus 完成交通灯控制系统设计与程序调试 2 使用掌握汇编语言与 C51 两种语言的编程 1 通过本次学习任务的实施, 了解 LED 数码管的结构和驱动方法, 掌握动 任务目的 态显示的含义和使用, 掌握程序的设计方法, 锻炼系统调试能力 2 培养学生独立自主的学习能力

33 3 培养学生团队协作的精神和刻苦钻研的精神资讯 1 LED 数码管的结构 2 静态显示与动态显示 3 单片机中断 4 C51 和汇编语言 5 单片机开发工具使用决策计划项目决策 : 1 分小组讨论, 分析任务 2 查找资料, 确定方案 3 每组选派一位同学汇报决策结果项目计划 : 1 根据操作要求, 使用相关知识和工具完成相关内容 2 列出设计交通灯控制系统时需要注意的问题 3 详细记录任务实施过程实施 4 确定系统设计方案 5 硬件电路设计, 程序设计, 电路仿真 6 对整个工作过程进行完整的记录检查评价项目检查 : 1 学生填写检查单 2 教师填写评价表项目评估 : 1 小组讨论, 自我评述完成情况及发生的问题, 并将问题写入汇报材料 2 小组共同给出提高效率的建议, 并将问题写入汇报材料 3 小组准备汇报材料, 每组选派一人进行汇报 4 整理相关资料, 列表说明项目资料及资料来源 3.13 考核标准 考核标准 序号 工作过程 主要内容评分标准配分 学生自评 教师 查找相关知识, 该任务知识掌握程度达到 60% 扣 5 分 1 资讯 任务相关知识查找 查找相关知识, 该任务知识掌握程度达到 80% 扣 2 分 10 查找相关知识, 该任务知识掌握程度达到 90% 扣 1 分 制定整体设计方案, 在实施过程中修改一 2 决策计划 确定方案编写计划 次扣 2 分制定实施方案, 在实施过程中修改一次扣 10 2 分 3 实施 记录实施过程步骤 实施过程中, 步骤记录不完整度达到 10% 扣 2 分 10

34 实施过程中, 步骤记录不完整度达到 20% 扣 3 分实施过程中, 步骤记录不完整度达到 40% 扣 5 分 小组讨论 完成情况和效率 5 4 整理资料规则标准和及其他资料整理 10 检查电路设计电路设计可靠 合理 10 评价程序设计程序设计结构合理 10 系统调试 正确制作调试出交通灯电路 25 职业 安全生产 安全文明操作规程, 学习纪律性 3 5 规范团队 组织协调 团队协作, 爱岗敬业, 吃苦耐劳 3 合作 交流表达 用专业语言正确流利简述任务成果 4 合计 100

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

Microsoft Word - P3.doc

Microsoft Word - P3.doc ;P3.3 警报器 ;* ( 程序转载请著明出处, 未声明可适应本站腾龙 /isp 开发套件 / 倚天版开发套件 * ;* E-mail:sxj1974@163.com (51c51 test web) 51 测试网, 自学单片机的网站 * ;* Create by : 石学军 www.51c51.com www.8951.com 更多例程请登陆网站 * org 00h ajmp dlv org 0030h

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

CA24064B LED LED LED EL EL CCFL EL CCF /

CA24064B LED LED LED EL EL CCFL EL CCF / CA24064B 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 39 2 6 0755-81995643/27890716 0 13713911853 0755-27890716 1 : VDD-VSS 0 6 V LCD VDD-V0 Ta=25 0 28.0 V VI 0 VDD V : VDD-VSS --- 4.75 5.0 5.25 V

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

单片机学习教程

单片机学习教程 . 89S51 1. 2.p1 3.8 3 4.8 3. 1. 0-F 2. 0000 0255. 1. : BB 2. : ( --- ) : :. 1. 2..232.I 2 C 1. X24C02 MCS-51 2. : 22H 24C02 50H 3. : ; 8 4. :I2C. / 1. 1 2. 2. 1. 1. 2. 2.. 1. 1: 2. 2: 3. 3 1 LED 1 89S51

More information

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32--

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32-- HD61202 C-7 1 HD61202 HD61202 8 HD61203 HD61202, HY-12864 HY-19264 HD61202 HD61202 HD61203 HD61202 1 6464=4096 RAMRAM LCD 2HD61202 64 3HD61202 68 68 4HD61202 1/32--1/64 HD61202 HD61202 2 CS1,CS2,CS3 CS1

More information

<4D F736F F D20B5A5C6ACBBFAD4ADC0EDD3EBD3A6D3C3BCB B3CCD0F2C9E8BCC65FB5DA33B0E65F2DD6D5B8E52D4E65772DBFB1CEF3B1ED2DB3F6B0E6C9E72E646F6378>

<4D F736F F D20B5A5C6ACBBFAD4ADC0EDD3EBD3A6D3C3BCB B3CCD0F2C9E8BCC65FB5DA33B0E65F2DD6D5B8E52D4E65772DBFB1CEF3B1ED2DB3F6B0E6C9E72E646F6378> 单片机原理与应用及 C51 程序设计 ( 第 3 版 )- 终稿 -New 勘误表 1. P18 页 11 行原来 : 汇编语言 机器语言 功能 MOV AL,01H 1011 0000 0000 0011B ; 把 01 送入累加器 A ADD AL,02H 0000 0100 0000 0101B ;02 与 A 中内容相加, 结 果存入 A HLT 11110100B ; 停止操作 汇编语言

More information

W77E58中文手册

W77E58中文手册 W77E58 77E58 8051 8051 8051 77E58 8051 1.5 3 COMS 32K EEPROM 1K SRAM 1 8 2 40M 4 3 8051 4 8051 5 4 8 I/O 6 4 I/O 44 PLCC QFP 7 16 / 8 12 9 10 11 1K 12 13 16 DPTR 14 15 DIP40 W77E58 25/40 PLCC44 W77E58P

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

逢 甲 大 學

逢    甲    大    學 論 車 Auto Vehicle 立老 磊 年 老 立老 了 見 老 了不 料 利 了 識 更了 力量! i 車. 車 利 89c51 來 流. 令. 車 8051 類 車利 車 ii Abstract The goal of this thesis is to design a small auto vehicle by using IC as its control center. Our auto

More information

Microsoft Word - 第1章 MCS-51单片机基础.docx

Microsoft Word - 第1章 MCS-51单片机基础.docx 51 单片机应用开发实战手册 作者 : 华清远见 第 1 章 MCS-51 单片机基础 本章目标 单片机作为嵌入式微控制器在工业测控系统 智能仪器和家用电器中得到广泛 应用 虽然单片机的品种很多, 但 MCS-51 系列单片机仍不失为单片机中的主流机 型 本章主要介绍 MCS-51 单片机的基础知识和学习要点, 以目前应用最为广泛的 AT89SXX 系列单片机为例, 介绍单片机的历史发展 应用领域

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

Microsoft Word - ISSFA-0134_A_AP_User-definedDownload_SC_.doc

Microsoft Word - ISSFA-0134_A_AP_User-definedDownload_SC_.doc 自定义下载应用说明 一 适用产品 :SM59XX 系列 SM59D XX 系列 SM59R XX 系列二 应用方式 : 可以让使用者自定义 command 作为进入 ISP 刻录的通关指令, 透过 UART 连接 ISAP 软件做联机更新三 操作说明 ( 使用 SM59D04G2 为例 ): 1. ISAP 操作方式 : 1.1 先将主程序及 ISP 服务程序烧进 MCU 中 1.2 将 MCU 放至系统版上,

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63>

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63> 单 片 机 及 接 口 技 术 实 验 指 导 书 张 勇 编 计 算 机 与 通 信 工 程 学 院 信 息 与 通 信 工 程 系 2004.12 1 - 前 言 单 片 机 及 接 口 技 术 是 通 信 工 程 专 业 的 专 业 技 能 课 程, 在 专 业 知 识 结 构 体 系 中 具 有 十 分 重 要 的 地 位, 课 程 的 实 践 性 很 强, 学 习 必 须 理 论 和 实

More information

电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本

电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本 AT89C51 体 重 称 电 路 图 原 理 本 文 设 计 一 种 利 用 电 阻 应 变 式 压 力 传 感 器 和 MCS-51 单 片 机 等 器 件 制 作 的 体 重 秤 该 体 重 秤 的 量 程 为 100kg, 能 实 现 称 重 数 码 显 示 调 零 等 功 能 该 体 重 秤 利 用 电 桥 测 量 原 理, 将 压 力 应 变 传 感 器 阻 值 转 换 为 电 压 值,

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS KS0108 KS0108 8 KS0107 KS0108 KS0108 128*32128*64 192*64 KS0108 KS0108 KS0107 KS0108 1 6464=4096 RAMRAM LCD 2KS0108 64 3KS0108 68 68 4KS0108 1/48--1/64 KS0108 CS1,CS2,CS3 CS1 CS2 CS3 E E KS0108 E R/W R/W=1

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

*_* IAP In Applications Program, *_* ROM RAM A/D D/A KELL C51 2 0531-86213622 Micro Controller Unit( ) INTEL MCS-48 MCS-51 MCS-96 51 MCS-51 8 MCS-96 16 INTEL / 51 87 LPC W78L DS87 GSM97 ATMEL 89C51

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

專題最終版.doc

專題最終版.doc The Principle and Application of the Electric Combination Lock The Principle and Application of the Electric Combination Lock Abstract Recently, there are more and more burglaries in the society. It's

More information

Microsoft PowerPoint - chap5.ppt

Microsoft PowerPoint - chap5.ppt 邏輯運算指令的動作 0 1 0 1 0 0 1 1 OR 1 0 1 1 1 0 0 1 1 1 1 1 1 0 1 1 (a) OR 運算 0 1 0 1 0 0 1 1 XOR 1 0 1 1 1 0 0 1 1 1 1 0 1 0 1 0 (c) XOR 運算 希望設定為 1 的位元 罩網標的位元組 新標的位元組 不改變的位元 希望取補數的位元 罩網標的位元組 新標的位元組 不改變的位元 1

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

µPSD3000 系列

µPSD3000 系列 8032 FLASH MCU µpsd3251f-40t6 µpsd3251 8032 FlashPSD Flash SRAM I/O I 2 C, ADC and PWM 8032, 16 / FlashPSD µpsd32 JTAG ISP 2K SRAM Flash - 64K Flash - 16K Flash - Flash 8032 12 I2C ADC 6 I/O 37 I/O 16

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

PowerPoint 簡報

PowerPoint 簡報 微算機原理與實驗 (UEE 2301/1071 ) Chap 5. MCS-51 Addressing Modes 宋開泰 Office:EE709 Phone:5731865( 校內分機 :31865) E-mail:ktsong@mail.nctu.edu.tw URL:http://isci.cn.nctu.edu.tw 1 Addressing Modes Addressing mode is

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

Microsoft Word - ~ doc

Microsoft Word - ~ doc EEPROM 功能使用方法 1 适用产品 : 1.1 SM39R16A2/ SM39R12A2/ SM39R08A2 1.2 SM39R4051/ SM39R2051 1.3 SM39R04G1/ SM39R02G1 2 EEPROM 功能概述 : 2.1 使用 code flash 仿真为 Internal EEPROM, 在程序执行时, 可将 code flash 作为 data flash 储存数据使用

More information

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20 (Jump) (Loop) (Conditional jump) CMP CALL AND SAR/SHR TEST JMP NOT SAL/SHL Jnnn* OR RCR/ROR LOOP XOR RCL/ROL RETn * nnn, JNE JL -128 127-32,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far

More information

Microsoft Word - 4位7段LED?示 CH.doc

Microsoft Word - 4位7段LED?示 CH.doc 4 位 7 段 LED 显示 在单片机应用系统中经常使用发光二极管来显示, 发光二极管简称 LED (Light Emitting Diode) LED 的价格便宜, 而且配置比较灵活, 与单片机的接口也比较方便 在这里将讲解如何使用中颖的单片机进行 4 位 7 段 LED 显示的方法 1. 7 段 LED 的结构原理 单片机中经常使用 7 段 LED 来显示数字, 也就是用 7 个 LED 构成字型

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

LED 阵列的显示方式是按显示编码的顺序, 一行一行地显示 每一行的显示时间大约为 4ms, 由于人类的视觉暂留现象, 将感觉到 8 行 LED 是在同时显示的 若显示的时间太短, 则亮度不够, 若显示的时间太长, 将会感觉到闪烁 本文采用低电平逐行扫描, 高电平输出显示信号 即轮流给行信号输出低电

LED 阵列的显示方式是按显示编码的顺序, 一行一行地显示 每一行的显示时间大约为 4ms, 由于人类的视觉暂留现象, 将感觉到 8 行 LED 是在同时显示的 若显示的时间太短, 则亮度不够, 若显示的时间太长, 将会感觉到闪烁 本文采用低电平逐行扫描, 高电平输出显示信号 即轮流给行信号输出低电 情境四 任务 1 电子显示屏 点阵显示器 教学目的知识能力 : 掌握点阵显示器的工作原理技能能力 : 通过本次学习任务的实施, 能够掌握单片机的中断系统社会能力 : 培养学生的自主学习能力和爱岗敬业 吃苦耐劳 团队协作的精神 4.1 点阵显示器 汉字显示屏到处可见, 被广泛应用于与汽车报站器, 广告屏等 大型的点阵显示屏是由基本点阵显示器组合而成, 如 16*16LED 显示屏是采用 4 块 8*8LED

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

<4D F736F F D20B5A5C6ACBBFABFD8D6C6B2BDBDF8B5E7BBFA2E646F63>

<4D F736F F D20B5A5C6ACBBFABFD8D6C6B2BDBDF8B5E7BBFA2E646F63> 用单片机控制步进电机 步进电机是机电控制中一种常用的执行机构, 它的用途是将电脉冲转化为角位移, 通俗地说 : 当步进驱动器接收到一个脉冲信号, 它就驱动步进电机按设定的方向转动一个固定的角度 ( 及步进角 ) 通过控制脉冲个数即可以控制角位移量, 从而达到准确定位的目的 ; 同时通过控制脉冲频率来控制电机转动的速度和加速度, 从而达到调速的目的 一 步进电机常识 常见的步进电机分三种 : 永磁式

More information

Microsoft PowerPoint - chap4.ppt

Microsoft PowerPoint - chap4.ppt 定址方式 定址方式 格式 有效位址 立即資料定址 #data8 暫存器定址 Rn (R0 ~ R7) 直接定址 addr8 addr8 絕對定址 addr11 addr11 長程 ( 絕對 ) 定址 addr16 addr16 ( 暫存器 ) 間接定址 @Ri (@R0 @R1) 或 @DPTR R0 R1 或 DPTR ( 暫存器 ) 相對定址 disp8 PC+ 符號擴展之 disp8 ( 基底

More information

移入 8X8 bit 串行移位寄存器中的段码数据在 打入锁存器脉冲作用下, 锁存到 8X8 bit 段数据锁存器 数据锁存器中的段码经多路选择器, S1 时送第一位 ( 个位 ) A1,B1,,DP1, 段码显示 ; 依次地,S8 送第 8 位 ( 千万位 )A8,B8,,DP8, 段码显示 段码

移入 8X8 bit 串行移位寄存器中的段码数据在 打入锁存器脉冲作用下, 锁存到 8X8 bit 段数据锁存器 数据锁存器中的段码经多路选择器, S1 时送第一位 ( 个位 ) A1,B1,,DP1, 段码显示 ; 依次地,S8 送第 8 位 ( 千万位 )A8,B8,,DP8, 段码显示 段码 查询 TEC6122 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 TEC6122 说明书 TEC6122 共阴极 8X8 段 LED 数码管 (8X8 点阵 ) 显示驱动器 一 概述 TEC6122 共阴极 8X8 段 LED 数码管 (8X8 点阵 ) 显示驱动电路是全定制专用集成电路 该电路由开机自清电路 振荡电路 位扫描驱动电路 8X8 bit 移位寄存器电路 8X8 bit

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

第六章 微型计算机的输入输出

第六章  微型计算机的输入输出 第九章中断与中断管理 第九章中断与中断管理 9.1 中断原理 9.2 中断系统组成及其功能 9.3 中断源识别及中断优先权 9.4 8086 中断系统 9.5 可编程中断控制器 8259 9.6 IBM-PC 机硬件中断 第九章中断与中断管理 9.1 中断原理 9.2 中断系统组成及其功能 9.3 中断源识别及中断优先权 9.4 8086 中断系统 9.5 可编程中断控制器 8259 9.6 IBM-PC

More information

93C46串行EEPROM数据读写

93C46串行EEPROM数据读写 一 \ 实验目的 93C46 串行 EEPROM 数据读写 1 学会 93C46 的操作指令, 掌握 93C46 读 / 写程序的编写 2 掌握 93C46 与单片机的接口方式二 实验说明 93C46/56/66 是 1K/2K/4K 位的串行电可擦写的 EPROM 93C46 的 1K 位 EPROM, 可以按 128 字节排列, 也可以 64 字节排列 93C46 芯片有 8 脚, 当 ORG

More information

Microsoft Word - ISSFA-0109_B_SM59264_WDT_ APN_TC_.doc

Microsoft Word - ISSFA-0109_B_SM59264_WDT_ APN_TC_.doc Watch Dog Timer () 功能使用說明 ( 使用內部 250KHz) 適用產品 :SM59264 SM59128 SM8954A SM8958A SM89516A SM894051 SM79108 SM79164 SM59D03/04G2 應用說明 : 1 針對使用新茂 (SyncMOS)MCU, 如有因程式設計之關係, 需防止當機之發生時, 可啟動 Watch Dog 功能, 以防止當機之發生

More information

郑州轻工业学院本科

郑州轻工业学院本科 郑州轻工业学院 计算机与通信工程学院 单片机原理及应用课程设计总结报告 设计题目 : 十字路口交通灯控制 学生姓名 : 系别 : 专业 : 班级 : 学号 : 指导教师 : 2011 年 12 月 24 日 郑州轻工业学院 课程设计任务书 题目 十字路口交通灯控制 专业 班级学号姓名 主要内容 基本要求 主要参考资料等 : 目录 : 基本要求... 2 设计方案简介... 2 系统需求分析... 2

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc EEPROM 应用说明 1 适用产品 :SM59D03G2 SM59D04G2 series 2 应用范围 : 针对需使用 EEPORM 功能替换 STC 89C5X 的应用及汇编语言之范例程序 ( 使用内部扩充内存为暂存区 ) 3 功能说明 : 3.1 本公司上述产品 EEPORM 功能皆可替换 STC89C5X, 仅需对特殊功能缓存器定义 ( 详见表 1) 及 ISP 命令定义 ( 详见表 2)

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

该 奈 自 受 PZ 多 透 soc i e B t h y. y t is NA YL OR exp os ed t h a t b e i n g wh o res or sa in t es s e s we r e m ad e n b ot om. M ean wh i l e NA YL

该 奈 自 受 PZ 多 透 soc i e B t h y. y t is NA YL OR exp os ed t h a t b e i n g wh o res or sa in t es s e s we r e m ad e n b ot om. M ean wh i l e NA YL 探 性 通 性 圣 重 ' 颠 并 格 洛 丽 亚 奈 勒 小 说 贝 雷 的 咖 啡 馆 对 圣 经 女 性 的 重 写 郭 晓 霞 内 容 提 要 雷 的 咖 啡 馆 中 权 社 会 支 配 的 女 性 形 象 美 国 当 代 著 名 黑 人 女 作 家 格 洛 丽 亚 过 对 6 个 圣 经 女 性 故 事 的 重 写 奈 勒 在 其 小 说 贝 覆 了 圣 经 中 被 父 揭 示 了 传 统

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

第一章

第一章 单片机原理 STC15F2K60S2 微机原理与单片机接口技术复习要点 第一章微型计算机概述 ( 了解概念 ) 1.1.2 微型计算机基本构成 ( 基本结构由哪些部分构成 ) 1.1.3 单片微型计算机简介 ( 什么是单片机, 单片机的结构及各个部分的功能, 常见的单片机有哪些 ) 1>. 微型计算机基本构成 : 微处理器, 存储器 (ROM RAM) 与输入输出接口 (I/O 接口 ) 及外部设备及连接他们的系统总线组成

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

8051初学实验教程系列五.doc

8051初学实验教程系列五.doc 实验一 : 扩展存储器读写实验 一. 实验要求编制简单程序, 对实验板上提供的外部存贮器 (62256) 进行读写操作 二. 实验目的 1. 学习片外存储器扩展方法 2. 学习数据存储器不同的读写方法 三. 实验电路及连线 将 P1.0 接至 L1 CS256 连 GND 孔 四. 实验说明 1. 单片机系统中, 对片外存贮器的读写操作是最基本的操作 用户藉此来熟悉 MCS51 单片机编程的基本规则

More information

1

1 W7E65 1. W7E65 ISP Flash EPROM ISP Flash EPROM 52 W7E65 64K Flash EPROM 4K Flash EPROM 4K Flash EPROM loader 64K ROM 1K RAM 4 I/O 4 I/O P4 3 16 / 2 W7E65 Flash EPROM W7E65 2 2 2. CMOS 12 64K ISP Flash

More information

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.06.doc

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.06.doc 2 5 8 11 0 13 1. 13 2. 15 3. 18 1 23 1. 23 2. 26 3. 28 2 36 1. 36 2. 39 3. 42 4. 44 5. 49 6. 51 3 57 1. 57 2. 60 3. 64 4. 66 5. 70 6. 75 7. 83 8. 85 9. 88 10. 98 11. 103 12. 108 13. 112 4 115 1. 115 2.

More information

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378>

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378> 第一章第二章 Q2 1: 高序 : 最低位字节存储在字的最低位 ; 低序 : 最低位字节存储在字的最高位 ; Q2 2: 冯. 诺依曼结构 : 数据和指令都存储在同一存储器中 ; 哈佛结构 : 数据和程序存储在各自独立的存储器中 Q2 3: a) ARM 有 16 个通用寄存器,r0 r15, 其中 r15 还被用作程序计数器 b) CPSR 是程序状态寄存器, 包含了条件码标识 中断禁止位 当前处理器模式和其他状态

More information

dfgdafhdafhdafhdafh

dfgdafhdafhdafhdafh 逢 甲 大 學 自 動 控 制 工 程 學 系 專 題 製 作 專 題 論 文 數 位 式 電 子 秤 之 研 製 Design Study of Digital Electronic Weighing Sensor 指 導 教 授 : 賴 啟 智 學 生 : 劉 人 豪 俞 仲 維 中 華 民 國 九 十 八 年 四 月 二 十 二 日 ii . 誌 謝 本 文 承 蒙 賴 啟 智 老 師 指 導,

More information

Application Note Format

Application Note Format USB 說 2 - AD PWM Office: 6F, No. 12, Innovation 1st. RD., Science-Based Industrial Park, Hsin-Chu City, Taiwan, R.O.C Tel: +886-3-6661766 ext.1672 Fax: +886-3-6661765 Etoms Electronics Corp. Publication

More information

Microsoft Word - Ö¸Á.doc

Microsoft Word - Ö¸Á.doc 指令集说明 注 由于资源大小问题 其中以下几款 MCU 只有 62 条指令 其余均为 63 条指令 HT48CA0/HT48RA0A 无 RETI 指令 HT48R05A-1 无 TABRDL 指令 指令寻址方式有下面 5 种 立即寻址 此一寻址法是将立即的常数值紧跟在运算码 (opcode) 后 例如 MOV A, 33H ADD A, 33H 直接寻址 直接寻址的情況只允许在存储器之间作数据传送

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

Microsoft Word - 47FD9AF8-57CE-283B40.doc

Microsoft Word - 47FD9AF8-57CE-283B40.doc 单片机原理及应用 实验指导书 机械工程分院 龚 民 目 录 第一部分实验系统的组成和结构 ----------------------------------------------------------1 第二部分 Keil C 软件使用说明 ---------------------------------------2 第三部分单项实验 ---------------------------------------------------------------------------8

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

$$% % $ (%) % %$ $ ( *+,)(-)-./0-1//0- %) %) % - $%2)33%0 $ % ((3./. 3/3 )3 / % (()33(1 % (()3(/ %89856%:;< % (()3 0()0 3 (. <<=330(<</ 3 3. ()

$$% % $ (%) % %$ $ ( *+,)(-)-./0-1//0- %) %) % - $%2)33%0 $ % ((3./. 3/3 )3 / % (()33(1 % (()3(/ %89856%:;< % (()3 0()0 3 (. <<=330(<</ 3 3. () $$% % $ (%) % %$ $ ( *+,)(-)-./0-1//0- %) %) % - $%2)33%0 $ % ((3./. 3/3 )3 / % (()33(1 % (()3(/0 456777%89856%:;< % (()3 0()0 3 (.

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

幻灯片 1

幻灯片 1 3 8086 Tel:2656809 tjx@csu.edu.cn 2005-9-14 1 2005-9-14 2 8086 8 8086 8086 7 2005-9-14 3 PC 2005-9-14 4 2005-9-14 5 81616 2005-9-14 6 [ ] MOV AX, 3064H AX=3064H 16AX OP 64H 30H 2005-9-14 7 16 AX BX CX

More information

Microsoft PowerPoint - chap3.ppt

Microsoft PowerPoint - chap3.ppt MCS-51 CPU 的規劃模式 位元組位址 1F 18 17 10 0F 08 07 06 05 04 03 02 01 00 通用資料暫存器 暫存器庫 3 暫存器庫 2 暫存器庫 1 R7 R6 R5 R4 R3 R2 R1 R0 內部 RAM 位元組位址 暫存器庫 0 F0 F7 F6 F5 F4 F3 F2 F1 F0 B E0 E7 E6 E5 E4 E3 E2 E1 E0 ACC D0

More information

Microsoft Word - 单片机目录.doc

Microsoft Word - 单片机目录.doc MCS-51 单片机原理 李洁等编著 北京大学信息科学技术学院 2009 年 2 月 目 录 第一章 MCS-51 单片机 (1) 1.1 MCS-51 单片机的总体结构和信号引脚 (1) 1.2 MCS-51 单片机的存贮器组织 (6) 1.3 MCS-51 单片机的时序 (11) 第二章 MCS-51 单片机的指令系统以及汇编语言程序设计 (14) 2.1 寻址方式 (14) 2.2 指令系统

More information

C++ 程序设计 告别 OJ2 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ2 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ2 - 参考答案 MASTER 2019 年 5 月 3 日 1 1 TEMPLATE 1 Template 描述 使用模板函数求最大值 使用如下 main 函数对程序进行测试 int main() { double a, b; cin >> a >> b; cout c >> d; cout

More information

車在走天在看 PC前的三輪車日記

車在走天在看 PC前的三輪車日記 車 PC 輪車 老 : 林 : 龍 : 車 :2005/6/27 1. 2. 3. 4. 理 5. 6. 論 7. 論 1. 車 藍 89C51 串列 車 類 不 類 2. 利 路 料 連 車 利 A.B. 藍 串列 藍 車 六 藍 留 來 六 串列 行 兩 理串列 料 率 來 車 藍 串列 料讀 來 路流 更 藍 89C51 3. Hin232 IC IC RS232 串列 7404 89C51

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

00 sirius 3R SIRIUS 3R 3RV1 0A 1 3RT1 3RH1 3 3RU11/3RB SIRIUS SIRIUS TC= / 3RV1 A 1 IEC6097- IP0 ( IP00) 1/3 IEC6097- (VDE0660) DIN VDE 06 0 AC690V, I cu 00V 1) P A n I n I cu A kw A A ka S00 0.16 0.0

More information

微机原理与接口技术 郭玉洁

微机原理与接口技术 郭玉洁 微机原理与接口技术 郭玉洁 实验安排 汇编语言程序设计实验编程测验硬件接口应用实验综合应用实验 2 学时 1 学时 4 学时 4 学时 一 实验内容 二 实验目的 三 实验方法 实验报告要求 1 文字叙述设计思路 2 流程图 四 实验源程序 ( 必要的文字注释 ) 五 实验结果 六 实验中遇到的问题及解决方法 七 心得体会 ( 学会编程 调试等学习的方法 ) 汇编语言实验内容 1 学习并掌握 IDE86

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

Microsoft Word - 第3章.doc

Microsoft Word - 第3章.doc 第 3 章 51 单片机对中断的控制 中断的概念很容易理解, 例如我们在看书的时候, 电话铃突然响了, 这时我们在书中夹一个书签, 然后去接电话, 接完电话以后, 根据书签的指示, 重新开始阅读 可以说这个电话铃响是一个中断源, 中断了我们的阅读 当中断任务完成以后, 继续进行阅读, 这就是一个中断响应的过程 单片机处理的方式与此是非常类似的, 本章就来学习 51 单片机如何处理这一过程 3.1 流水灯汇编程序的改进

More information

<4D F736F F D20B8F8B3F5D1A7B5A5C6ACBBFAB5C43430B8F6CAB5D1E92E646F63>

<4D F736F F D20B8F8B3F5D1A7B5A5C6ACBBFAB5C43430B8F6CAB5D1E92E646F63> 1. 闪烁灯 1. 实验任务 如图 4.1.1 所示 : 在 P1.0 端口上接一个发光二极管 L1, 使 L1 在不停地一亮一灭, 一亮一灭的时间间隔为 0.2 秒 2. 电路原理图 3. 系统板上硬件连线 图 4.1.1 把 单片机系统 区域中的 P1.0 端口用导线连接到 八路发光二极管指示模块 区域中的 L1 端口上 4. 程序设计内容 (1). 延时程序的设计方法 作为单片机的指令的执行的时间是很短,

More information