Silver

Size: px
Start display at page:

Download "Silver"

Transcription

1 版权所有, 禁止未经授权的商业使用行为 FPGA System Design Primer 高教社 &XILINX SOC 竞赛培训系列课程 何宾 何宾 Tel: 高等教育出版社

2 培训内容 基于 AXI4 的可编程 SoC 系统设计 ( 下 ) Xilinx 片上可编程系统设计导论 AXI4 规范 MicroBlaze 处理器原理 EDK13.1 工具概述操作系统 (OS) 及板级支持包 (BSP) 概述基于 MicroBlaze 和 AXI4 的可编程 SoC 系统实现

3 片上可编程系统设计实验 -- 实验内容 介绍 EDK13.1 软件的使用方法和设计流程 在介绍这部分内容时, 使用捐赠的板卡 Nexys3, 该板卡带有 Xilinx 最新一代的 Spartan-6 FPGA 芯片

4 片上可编程系统设计实验 -- 实验内容 该内容主要包括 : 1. 工程的建立 ; 2. 添加 AXI4 IP 到硬件设计 ; 3. 定制 LED IP, 并添加 IP 到系统, 编写应用程序 ; 4. 定制 7 段数码管 IP, 并添加 IP 到系统, 编写应用程序 ; 5. 定制 PWM IP, 并添加 IP 到系统, 编写应用程序 ; 6. 实现 AXI4 中断控制系统 ; 7. 使用 AXI4 Chipscope 实现系统协同调试

5 片上可编程系统设计实验 -- 实验内容 特别注意 : 在开始使用 XILINX 的 EDK 软件开始片上可编程系统开发前, 需要将光盘中 AXI 目录下名为 Digilent_Nexys3 板支持包文件夹复制到 : D:\Xilinx\13.1\ISE_DS\EDK\board\Xilinx\ipxact 下

6 --BSB 向导概念 BSB 向导帮助设计人员快速建立一个嵌入式系统工程 对于更复杂的工程,BSB 向导提供基本的系统, 通过这个系统设计人员可以定制完成嵌入式设计 为了高效率的建立工程,Xilinx 推荐使用 BSB 向导

7 --BSB 向导概念 基于设计人员选择的板子, 设计人员通过 BSB 选择并配置基本的元素, 比如 : 处理器类型 调试接口 缓存配置 存储器类型和大小 外设等 对于 BSB 不支持的目标系统, 设计人员可以选择定制板选项 使用这个选项时, 必须指定未来板子的硬件, 并且要给出用户约束文件 UCF

8 --BSB 向导概念 如果选择的是支持的目标板,BSB 向导自动的加入 UCF 文件 当退出 BSB 时,BSB 所建立的 MHS 和 MSS 文件自动加入到 XPS 工程中, 设计人员能在 XPS 中进行更进一步的设计

9 --BSB 向导概念 BSB 可以根据设计人员的要求产生一个或多个软件工程 每个工程包含能运行在硬件目标开发板上的简单的应用程序和链接脚本

10 -- 设计结构原理 下图给出所设计系统的完整结构 M_AXI_IC Microblaze M_AXI_DC AXI-MM EMC SRAM JTAG MDM MBDEBUG DLMB M_AXI _DP ILMB AXI-Lite Ethernet MYIP GPIO GMII LED DIP GPIO PUSH LMB BRAM CNTLR LMB BRAM CNTLR UART Timer RS-232 BRAM INT CNTLR chipscope axi monitor 0 图 7.1 完整地系统结构

11 -- 设计结构原理 Microblaze JTAG MDM MBDEBUG M_AXI _DP DLMB ILMB AXI-Lite GPIO DIP GPIO PUSH LMB BRAM CNTLR LMB BRAM CNTLR UART RS-232 BRAM 使用 BSB 建立的最小系统结构

12 -- 使用 BSB 向导 在 Windows 操作系统下, 选择所有程序 ->Xilinx ISE Design Suite13.1->EDK->Xilinx Platform Studio(XPS) 打开 EDK 软件 1) 打开 XPS, 在 XPS 主界面选择 File->New Project, 出现下图界面 选择 Base System Builder Wizard (recommend), 然后单击 ok 按钮

13 -- 使用 BSB 向导 创建新的工程, 选择该选项 打开已经存在的工程, 选择该选项 点击 Open 按纽

14 -- 使用 BSB 向导 选择 System.xmp 文件 点击 Open 按纽

15 -- 使用 BSB 向导 选择创建一个新的设计 点击 Next 按纽, 进入下一个界面

16 -- 使用 BSB 向导 板子的供应厂商选择 Digilent 板子的名字选择 Spartan-6 Nexys3 板子的版本选择 C 点击 Next 按纽, 进入下一个界面 这些信息来自 XBD 文件

17 -- 使用 BSB 向导 给出了 AXI 系统的结构图

18 -- 使用 BSB 向导 点击 Next 按纽, 进入下一个界面

19 -- 使用 BSB 向导 开发板上给出的时钟频率 :100MHz CPU 的时钟频率 :100MHz 使用 FPGA 内 BRAM 的大小 :32KB 点击 Next 按纽, 进入下一个界面

20 -- 使用 BSB 向导 选中这三项, 然后点击 Remove, 所构建的最小系统不包括以太网控制器,LED 灯,SPI Flash 点击 Next 按纽, 进入下一个界面

21 -- 使用 BSB 向导 在该设计中没有使用高速缓存 点击 Next 按纽, 进入下一个界面

22 -- 使用 BSB 向导 每个 IP 的例化名字 已经给每个外设控制器分配了基地址和高地址 工程中所包含的文件 点击 Finish 按纽, 进入下一个界面

23 -- 使用 BSB 向导 总线标签 debug_module 与 AXI_LITE 接口连接 ; dlmb_cntrl 与 dlmb 总线连接 ; RS232_PORT 与 AXI_LITE 总线连接 ; 所用 IP 核及资源 LMB 控制器

24 -- 使用 BSB 向导 端口标签 S_AXI 的端口连接 1)RS232_PORT 的 TX 与网络 RS232_Uart_1_sout 连接 ; 2)RS232_PORT 的 RX 与网络 RS232_uart1_sin 连接 ;

25 -- 新建工程的结构分析 地址标签 基地址高地址大小地址

26 -- 新建工程的结构分析 BRAM LMB 控制器 LMB 控制器 处理器 点击块图标签查看生成的块图

27 -- 新建工程的结构分析 DIP 控制器 串口控制器 按键控制器

28 -- 新建工程的结构分析 在 XPS 主界面的左侧项目管理界面下, 单击 Project 标签, 然后单击 Project File 旁边的 +, 双击 mhsfiles: system.mhs 来打开该文件 硬件平台的描述被包含 MHS 文件中 MHS 文件是表示所设计的嵌入式系统的硬

29 -- 新建工程的结构分析 端口说明 IP 说明

30 -- 新建工程的结构分析 下一步, 选择这个, 产生网表 想想这步完成的工作? 其实就是把这个抽象的结构, 转换成门级网表的连接.

31 -- 新建工程的结构分析 这个过程需要持续一小部分时间, 请等待, 并且看看控制台给出的综合信息.

32 -- 新建工程的结构分析 下一步, 选择这个, 产生布局布线比特流文件 这个过程需要持续一小部分时间, 请等待, 并且看看控制台给出的实现过程的信息.

33 -- 新建工程的结构分析 怀着好奇的心情, 看看在 FPGA 芯片内部是怎么实现这个结构的? 下面教你查看 FPGA 内布局的图. 双击 FPGA Editor

34 -- 新建工程的结构分析 找到这个文件 点击 OK, 打开文件

35 -- 新建工程的结构分析 蓝色区域表示被使用的 CLB 终于知道了, 原来 FPGA 内的可编程单元被征用, 通过布线, 在 FPGA 内部实现了这个片上系统. 这也就是 可编程 SOC 的本质所在 过瘾后, 关闭这个工具

36 -- 建立软件应用程序 选择输出硬件到 SDK

37 -- 建立软件应用程序 选择输出和打开 SDK 软件

38 -- 建立软件应用程序 定位路径到当前的工程窗口 点击 OK 按纽, 进入下一个界面

39 -- 建立软件应用程序 硬件设计文件被导入到 SDK 下面将添加设计的 BSP

40 -- 建立软件应用程序 选择建立 Xilinx BSP, 作用是各种外设的软件驱动

41 -- 建立软件应用程序 BSP 的工程名字 硬件平台的工程名字 点击 Finish 按纽, 进入下一个界面 BSP 的名字 1) Xilkernel-Xilinx 操作系统 2) Standalone-BSP

42 -- 建立软件应用程序 点击 OK 按纽, 进入下一个界面

43 -- 建立软件应用程序 SDK 开始自动编译 BSP

44 -- 建立软件应用程序 BSP 的各种文件 下面将添加 SDK 自动生成的应用程序

45 -- 建立软件应用程序 生成新的 C 工程

46 -- 建立软件应用程序 工程名字 选择生成外设测试应用程序

47 -- 建立软件应用程序 点击 Next 按纽, 进入下一个界面

48 -- 建立软件应用程序 选择该选项, 使用已经存在的 BSP 点击 Finish 按纽, 进入下一个界面

49 -- 建立软件应用程序 打开并分析该文件 应用程序的各种文件

50 -- 建立软件应用程序 开关输入测试代码部分

51 -- 建立软件应用程序 按键输入测试代码部分 下面准备下载设计到芯片中

52 -- 生成链接脚本文件 选择产生链接脚本文件

53 -- 生成链接脚本文件 点击 Browse 按纽 程序各段的分配情况 定位产生.ld 文件的路径 选择保存 选择生成

54 -- 工程的下载 产生比特流文件并且下载到板子上 在下载前, 指令存储器 (FPGA 的 BRAM) 将被更新 ( 使用 GNU 编译器产生可执行的文件 ) 使用下面的步骤完成设计下载和结果的观察 : 连接 Nexys3 的 USB 线连接到主机的 USB 口打开目标板的电源打开主机的超级终端 ( 在 Windows 操作系统的开始 -> 所有程序 -> 附件 -> 通信下 ), 并且配置其参数, 使其波特率 9600, 数据位 :8 比特 ; 无奇偶校验 ; 一个停止位 ; 无流量控制

55 -- 工程的下载 选择编程 FPGA 选项

56 -- 工程的下载 比特流文件 块 RAM 映射文件 点击 Program 按纽, 进入下一个界面 选择软件应用程序.elf 文件 ( 即最终编译 \ 连接后的文件

57 -- 工程的下载 等待编程成功, 在超级终端上观察结果, 同时在 Nexys3 板卡上操作按键和 DIP 开关, 观察打印信息的变化. 注意 : 如果前面没有选择生成链接脚本文件, 则需要选择 RUN->RUN, 来运行程序. 关闭 SDK 软件.

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

Microsoft Word zw

Microsoft Word zw 第 1 章 Android 概述 学习目标 : Android Android Android Studio Android Android APK 1.1 1. 智能手机的定义 Smartphone 2. 智能手机的发展 1973 4 3 PC IBM 1994 IBM Simon PDA PDA Zaurus OS 1996 Nokia 9000 Communicator Nokia 9000

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

1.1 www.x431.com www.x431.com 2

1.1 www.x431.com www.x431.com 2 ...2 1.1...2 1.2...5 1.3...6 1.4 CF...9 1.5 CF...11 1.6...12 1.7...14 1.8...16 1 1.1 www.x431.com www.x431.com 2 [ ] www.x431.com X-431 [ ] X-431 3 [ ] 1) www.x431.com www.x431.com 2) [ ] Email Email Email,

More information

並 責 成 各 里 幹 事 下 里 服 勤 宣 導 病 媒 防 治 知 識, 協 助 各 家 戶 清 除 病 媒 孳 生 源 ( 積 水 容 器 ), 降 低 棲 群 密 度, 預 防 傳 染 病 之 發 生, 以 確 保 民 眾 身 體 健 康 及 居 家 生 活 品 質 訂 定 每 月 最 後

並 責 成 各 里 幹 事 下 里 服 勤 宣 導 病 媒 防 治 知 識, 協 助 各 家 戶 清 除 病 媒 孳 生 源 ( 積 水 容 器 ), 降 低 棲 群 密 度, 預 防 傳 染 病 之 發 生, 以 確 保 民 眾 身 體 健 康 及 居 家 生 活 品 質 訂 定 每 月 最 後 541 94.4.6 臺 北 市 文 山 區 都 市 計 畫 案 通 盤 檢 討 主 要 計 畫 暨 細 部 計 畫 案 542 94.5.5 都 市 計 畫 道 路 用 地 變 更 為 可 發 展 用 地 免 予 回 饋 原 則 附 件 三 溫 泉 產 業 特 定 專 用 區 都 市 計 畫 案 召 集 人 本 案 案 情 複 雜, 且 為 求 審 議 效 益, 委 請 陳 委 員 武 正 擔 任

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

一、考試宗旨:考選風險管理人才,提升風險管理水準。

一、考試宗旨:考選風險管理人才,提升風險管理水準。 101 年 第 1 次 風 險 管 理 師 考 試 簡 章 一 考 試 宗 旨 : 考 選 風 險 管 理 人 才, 提 升 風 險 管 理 水 準 二 考 試 類 別 :( 一 ) 個 人 風 險 管 理 師 ;( 二 ) 企 業 風 險 管 理 師 可 同 時 報 考 三 考 試 科 目 :( 參 考 用 書 請 詳 閱 簡 章 第 二 頁 ) ( 一 ) 個 人 風 險 管 理 師 考 試 (

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

Microsoft Word - MicroBlaze开发流程.doc

Microsoft Word - MicroBlaze开发流程.doc MicroBlaze 开发流程 一 MicroBlaze 的优势 MicroBlaze 软内核是一种针对 Xilinx FPGA 器件而优化的功能强大的标准 32 位 RISC 处理器 采用传统的单处理器方法进行的基础设计配合 Virtex-II Pro FPGA 在 150 MHz 的时钟频率下, 性能达到 125 Dhrystone MIPS (DMIPS), 如果与 Spartan-3 FPGA

More information

CPU : i3 RAM: 2G Win2000 Windows XP Windows Vista Windows 7 Cable ADSL 1. [ ] 2., 1. 2. KGI [ ] 3. 4. 5. 6. 7. / /KGI /, 1. (1) / (2) - Proxy, Proxy IP Port (3) - a. / / b. (4) - (5) / / / / / (6) -,,

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

untitled

untitled \ \ \ DOP11B 06/2011 16929837 / ZH SEW-EURODRIVE Driving the world 1 5 1.1 5 1.2 5 1.3 6 1.4 6 1.5 6 1.6 6 1.7 6 2 7 2.1 7 2.2 7 2.3 8 2.4 8 2.5 8 2.6 9 2.7 / 11 2.8 11 2.9 11 2.10 11 2.11 12 3 (DOP11B-10

More information

段 工 作 4 某 一 階 段 必 須 有 產 品 來 顯 示 已 告 一 段 落 1 6. ( 2 ) 下 列 那 一 項 技 術 為 一 個 處 理 器 中 含 有 兩 個 執 行 單 元, 可 以 同 時 執 行 兩 個 並 行 執 行 緒, 以 提 升 處 理 器 的 運 算 效 能 與 多

段 工 作 4 某 一 階 段 必 須 有 產 品 來 顯 示 已 告 一 段 落 1 6. ( 2 ) 下 列 那 一 項 技 術 為 一 個 處 理 器 中 含 有 兩 個 執 行 單 元, 可 以 同 時 執 行 兩 個 並 行 執 行 緒, 以 提 升 處 理 器 的 運 算 效 能 與 多 104 年 度 11900 電 腦 軟 體 設 計 丙 級 技 術 士 技 能 檢 定 學 科 測 試 試 題 本 試 卷 有 選 擇 題 80 題, 每 題 1. 2 5 分, 皆 為 單 選 選 擇 題, 測 試 時 間 為 100 分 鐘, 請 在 答 案 卡 上 作 答, 答 錯 不 倒 扣 ; 未 作 答 者, 不 予 計 分 准 考 證 號 碼 : 姓 名 : 單 選 題 : 1. (

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

利用即插即用的IP实现更高的FPGA设计生产力

利用即插即用的IP实现更高的FPGA设计生产力 利用即插即用的 IP 实现更高的 FPGA 设计生产力 第 1 页 会议日程 介绍 : 什么是 AXI? 优势 如何通过 IP 生态系统提升 IP 的可用性? 如何实现更高生产力? 接口如何提供增强系统性能的灵活性? 下一步的发展是什么? 第 2 页 赛灵思全面转向 AXI 工具 IP 应用 培训 嵌入连接功能 DSP ISE / PlanAhead System Generator CORE Generator

More information

Xilinx 嵌入式双核Cortex-A9 All Programmable 平台设计指南(上)

Xilinx 嵌入式双核Cortex-A9 All Programmable 平台设计指南(上) Xinlinx 大学计划课程 Zynq-7000 SoC 设计导论 主讲 : 何宾 Email: hebin@mail.buct.edu.cn Zynq-7000 SoC 设计导论 主要内容 全可编程片上系统基础知识 Zynq-7000 SoC 功能和结构 Zynq-7000 SoC 在嵌入式系统中的优势 Zynq-7000 SoC 的 Vivado 设计流程 2 全可编程片上系统基础知识 -- 全可编程片上系统的演进

More information

<4D6963726F736F667420576F7264202D20B9D8D3DAD7F6BAC332303135C4EAB3F5D6D0B1CFD2B5C9FAD1A7D2B5BFBCCAD4D3EBB8DFD6D0BDD7B6CED1A7D0A3D5D0C9FAB1A8C3FBB9A4D7F7B5C4CDA8D6AA2E646F63>

<4D6963726F736F667420576F7264202D20B9D8D3DAD7F6BAC332303135C4EAB3F5D6D0B1CFD2B5C9FAD1A7D2B5BFBCCAD4D3EBB8DFD6D0BDD7B6CED1A7D0A3D5D0C9FAB1A8C3FBB9A4D7F7B5C4CDA8D6AA2E646F63> 东 莞 市 教 育 局 东 中 招 办 2015 4 号 关 于 做 好 2015 年 初 中 毕 业 生 学 业 考 试 与 高 中 阶 段 学 校 招 生 报 名 工 作 的 通 知 各 镇 ( 街 园 区 ) 宣 教 办 ( 局 ), 各 初 级 中 学 完 全 中 学 : 为 做 好 我 市 今 年 初 中 毕 业 生 学 业 考 试 与 高 中 阶 段 学 校 招 生 ( 以 下 简 称

More information

春 天 来 了, 万 物 复 苏, 小 草 绿 了 小 河 解 冻 了 柳 树 发 芽 了 桃 花 盛 开 了 春 天 给 大 自 然 带 来 了 盎 然 生 机 春 天 的 景 物 是 美 丽 的, 春 天 的 故 事 是 动 人 的, 我 们 有 取 之 不 尽 的 以 春 为 主 题 的 作

春 天 来 了, 万 物 复 苏, 小 草 绿 了 小 河 解 冻 了 柳 树 发 芽 了 桃 花 盛 开 了 春 天 给 大 自 然 带 来 了 盎 然 生 机 春 天 的 景 物 是 美 丽 的, 春 天 的 故 事 是 动 人 的, 我 们 有 取 之 不 尽 的 以 春 为 主 题 的 作 主 编 寄 语 祝 你 在 作 文 世 界 展 翅 腾 飞 学 作 文, 必 须 从 读 别 人 的 好 作 文 开 始 中 国 旧 时 代 的 文 人 有 一 句 顺 口 溜 : 熟 读 唐 诗 三 百 首, 不 会 作 诗 也 会 诌 这 句 话 告 诉 我 们 : 写 作 必 须 从 阅 读 开 姑, 而 且 必 须 从 精 选 的 佳 作 开 始 进 入 新 世 纪 以 后, 在 新 课 标

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

Ch

Ch For Academic Use Only 实验 1 简单硬件设计实验 MicroBlaze Targeting MicroBlaze on Spartan -3E Starter Kit This material exempt per Department of Commerce license exception TSU 实验 1: 简单的硬件设计实验 介绍 此试验可作为使用 Xilinx Platform

More information

CL-S10w

CL-S10w Data Management Software CL-S10w WindowsWindows XP Microsoft Windows XP Professional Operating System WindowsWindows 7 Microsoft Windows 7 Professional Operating System Excel Microsoft Excel MicrosoftWindowsWindows

More information

专注于做最好的嵌入式计算机系统供应商

专注于做最好的嵌入式计算机系统供应商 专注于做最好的嵌入式计算机系统供应商 基于 ARMSYS2440/2410 开发应用程序 (EVC&VS2005) Rev 1.0 2008 年 3 月 24 日 杭州立宇泰电子有限公司 HangZhou LiYuTai Elec.Co.,Ltd 开发应用程序的过程一般分两步, 第一步在 PC 机开发环境下设计和编译应用程序, 第二步将它下载到 目标系统, 也就是我们的 ARMSYS2440 平台上运行

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

Data Management Software CL-S10w

Data Management Software CL-S10w Data Management Software CL-S10w Windows Windows XP Microsoft Windows XP Professional Operating System Windows Windows 7 Microsoft Windows 7 Professional Operating System Windows Windows 8 Microsoft Windows

More information

201406002+大学计算机基础B.doc

201406002+大学计算机基础B.doc 目 录. 大 学 计 算 机 基 础 B( 非 独 立 设 课 ).... 计 算 机 操 作 基 础 ( 独 立 设 课 )...3 3. 程 序 设 计 基 础 ( 非 独 立 设 课 )...5 4. 面 向 对 象 程 序 设 计 ( 非 独 立 设 课 )...8 5. 数 据 库 原 理 ( 非 独 立 设 课 )...0 6. 算 法 设 计 与 分 析 ( 非 独 立 设 课 )...

More information

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南 Symantec Sygate Enterprise Protection 防 护 代 理 安 装 使 用 指 南 5.1 版 版 权 信 息 Copyright 2005 Symantec Corporation. 2005 年 Symantec Corporation 版 权 所 有 All rights reserved. 保 留 所 有 权 利 Symantec Symantec 徽 标 Sygate

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

Microsoft Word - 澎湖田調報告-宏達組9804.doc

Microsoft Word - 澎湖田調報告-宏達組9804.doc 越 南 漢 文 學 與 民 俗 文 化 期 中 報 告 書 澎 湖 縣 山 水 社 區 越 南 新 住 民 妊 娠 醫 療 照 護 田 野 調 查 田 野 調 查 日 期 : 三 月 二 十 一 日 ( 六 ) 至 三 月 二 十 三 日 ( 日 ) 指 導 教 授 陳 益 源 老 師 成 員 古 佳 峻 戴 榮 冠 林 宏 達 阮 氏 清 水 澎 湖 縣 山 水 社 區 越 南 新 住 民 妊 娠

More information

,,,,,,,,,,,,,,,,,,,,, 1 ,,,,,,,,, : :,,,,,,,,,,, :?, :?!,,,!,, :!!,,,,,,,,,,,, : 2 ,,,,,,,,, ;,,,,,,,,,,,,,,,,,,,,,,,,,,,,?,,,,, :? :,? :? 3 ?,,, :,!, :,! : ( ),, :,?,,,,,,,,,,,,,,, 4 ,,,,, :!,,, :,! :

More information

平 凡 足 迹 李 本 川 作 者 为 中 国 科 学 院 海 洋 研 究 所 研 究 员,1935 年 生, 山 东 荣 成 人 我 今 年 63 岁 了 大 前 年 丈 夫 和 儿 子 在 一 个 月 内 先 后 离 开 了 人 世, 女 儿 又 已 出 嫁, 现 在 是 孑 然 一 身 我 是

平 凡 足 迹 李 本 川 作 者 为 中 国 科 学 院 海 洋 研 究 所 研 究 员,1935 年 生, 山 东 荣 成 人 我 今 年 63 岁 了 大 前 年 丈 夫 和 儿 子 在 一 个 月 内 先 后 离 开 了 人 世, 女 儿 又 已 出 嫁, 现 在 是 孑 然 一 身 我 是 序 这 是 一 群 在 五 十 年 代 的 阳 光 下 成 长 起 来 的 女 大 学 生 们 晚 年 的 回 忆 那 时 她 们 朝 气 蓬 勃, 满 怀 理 想 ; 她 们 对 英 特 纳 雄 奈 尔 一 定 要 实 现 坚 信 不 移 ; 她 们 将 艰 苦 奋 斗 作 为 自 己 必 修 的 功 课, 将 无 私 奉 公 作 为 自 己 道 德 修 养 的 最 高 境 界, 将 服 从 祖

More information

今天 2011年春季号 总 92 期

今天   2011年春季号 总 92 期 今 天 2011 年 春 季 号 总 92 期 目 录 业 余 诗 人 专 辑 这 些 业 余 诗 人 赵 野 海 波 的 诗 ( 七 首 ) 凄 凉 犯 简 史 海 波 吉 木 狼 格 的 诗 ( 六 首 ) 我 的 诗 歌 吉 木 朗 格 李 亚 伟 的 诗 ( 十 三 首 ) 口 语 和 八 十 年 代 李 亚 伟 默 默 的 诗 ( 十 三 首 ) 我 们 就 是 海 市 蜃 楼 一 个 人

More information

*

* (1982.2 1987.12) 1982 2 20 6 23 6 4 7 14 20 7 28 [1982]148 670 20 9 10 12 10037 1581 126 ( 1 ) 1983 1 17 2 4 2 25 83 20 3 21 4 70 9 11 4 3 11 21 [1983]127 12 1984 8 4 20 3 5 5 7 12 29 12 1985 1 14 1 4

More information

(2000 7 24 ) / / / / / / /

(2000 7 24 ) / / / / / / / (2000 7 24 ) / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / 19 (2000 7 24 ) (2000 7 24 ) ! 250 348 ! ! 0 1 (2000 7 25 ) 1952 1959 1926-1927 1988

More information

(Microsoft Word - 8\244T\244\362\277\337\272]\244W\265L\246W.doc)

(Microsoft Word - 8\244T\244\362\277\337\272]\244W\265L\246W.doc) 赤 川 次 郎 作 品 集 8 三 毛 貓 榜 上 無 名 1 偶 然, 是 件 有 趣 的 事 溫 水 小 百 合 知 道 之 後, 心 情 輕 鬆 了 不 少 光 是 這 個, 看 官 大 概 不 明 白 是 怎 麼 一 回 事 吧 若 要 理 解 小 百 合 的 感 受, 就 必 須 由 火 車 緩 緩 開 動, 從 車 窗 看 不 見 在 月 台 揮 手 的 母 親 時, 小 百 合 陷 入

More information

Microsoft Word - 專家本色941202.doc

Microsoft Word - 專家本色941202.doc 專 家 本 色 : 名 師 教 學 實 錄 與 專 訪 前 言 本 次 所 拜 訪 的 學 校 是 位 於 彰 化 的 北 斗 家 商, 我 們 帶 著 既 緊 張 又 興 奮 的 心 情, 準 備 好 類 影 機 數 位 相 機 與 錄 音 筆, 希 望 能 將 訪 談 的 內 容 做 很 完 整 的 介 紹, 把 馮 老 師 的 教 學 精 華 全 部 呈 現 而 馮 傳 蓉 老 師 的 教 學

More information

但, 你 应 该 听 过 我 们 走 在 大 路 上 这 首 歌, 或 许 还 知 道 革 命 人 永 远 是 年 轻 那 支 歌 ; 并 且, 几 乎 可 以 肯 定, 你 在 戴 红 领 巾 的 那 阵, 必 然 唱 过 牛 儿 还 在 山 坡 吃 草, 放 牛 的 却 不 知 道 哪 儿 去

但, 你 应 该 听 过 我 们 走 在 大 路 上 这 首 歌, 或 许 还 知 道 革 命 人 永 远 是 年 轻 那 支 歌 ; 并 且, 几 乎 可 以 肯 定, 你 在 戴 红 领 巾 的 那 阵, 必 然 唱 过 牛 儿 还 在 山 坡 吃 草, 放 牛 的 却 不 知 道 哪 儿 去 爹 亲 娘 亲 不 如 毛 主 席 亲 作 者 下 场 有 多 惨 http://www.aboluowang.com/2015/0821/601184.html 2015-08-19 22:01:59 李 劫 夫 是 中 国 近 现 代 著 名 作 曲 家, 他 曾 创 作 了 大 量 的 毛 泽 东 诗 词 歌 曲 和 毛 泽 东 语 录 歌 曲, 最 为 著 名 的 就 是 曾 风 行 一 时

More information

2 临 终 助 念 答 问 序 临 终 关 怀, 由 佛 门 净 宗 古 来 祖 师 大 德 提 倡 助 念 往 生, 现 今 已 渐 为 社 会 大 众 所 重 视, 在 台 湾, 台 大 长 庚 等 各 大 医 院, 也 都 设 有 助 念 室 ; 大 陆 上 许 多 道 场, 也 有 专 为

2 临 终 助 念 答 问 序 临 终 关 怀, 由 佛 门 净 宗 古 来 祖 师 大 德 提 倡 助 念 往 生, 现 今 已 渐 为 社 会 大 众 所 重 视, 在 台 湾, 台 大 长 庚 等 各 大 医 院, 也 都 设 有 助 念 室 ; 大 陆 上 许 多 道 场, 也 有 专 为 华 净 藏 空 净 法 宗 师 学 主 会 讲 讲 记 组 整 理 临 终 助 念 答 问 2 临 终 助 念 答 问 序 临 终 关 怀, 由 佛 门 净 宗 古 来 祖 师 大 德 提 倡 助 念 往 生, 现 今 已 渐 为 社 会 大 众 所 重 视, 在 台 湾, 台 大 长 庚 等 各 大 医 院, 也 都 设 有 助 念 室 ; 大 陆 上 许 多 道 场, 也 有 专 为 临 命 终

More information

<4D6963726F736F667420506F776572506F696E74202D20313032313230355FA8BEA861B8EAB7BDBEE3A658BB50C0B3A5CE28B773A6CBA5AB29>

<4D6963726F736F667420506F776572506F696E74202D20313032313230355FA8BEA861B8EAB7BDBEE3A658BB50C0B3A5CE28B773A6CBA5AB29> 編 修 校 園 災 害 防 救 計 畫 家 庭 防 災 卡 47/92 校 況 與 災 害 分 析 演 練 組 別 指 揮 官 搶 救 組 通 報 組 避 難 引 導 組 安 全 防 護 組 緊 急 救 護 組 準 備 器 材 安 全 帽 對 講 機 大 聲 公 收 音 機 手 電 筒 安 全 帽 對 講 機 消 防 器 材 圓 鍬 十 子 鎬 繩 子 哨 子 手 電 筒 安 全 帽 對 講 機 師

More information

之 原 則 及 國 防 部 訂 頒 國 軍 列 管 國 有 不 動 產 提 供 非 軍 方 單 位 使 用 處 理 原 則 規 定 不 符, 仍 應 以 出 租 方 式 辦 理 惟 可 就 偏 遠 地 區 提 供 官 兵 金 融 水 電 服 務 使 用 部 分, 研 議 降 低 租 金 標 準, 報

之 原 則 及 國 防 部 訂 頒 國 軍 列 管 國 有 不 動 產 提 供 非 軍 方 單 位 使 用 處 理 原 則 規 定 不 符, 仍 應 以 出 租 方 式 辦 理 惟 可 就 偏 遠 地 區 提 供 官 兵 金 融 水 電 服 務 使 用 部 分, 研 議 降 低 租 金 標 準, 報 五 主 席 致 詞 :( 略 ) 六 座 談 內 容 :( 略 ) 七 實 地 訪 查 結 果 詳 如 附 件 訪 查 紀 錄 表 八 結 論 : ( 一 ) 實 地 訪 查 紀 錄 表 內 之 建 議 處 理 方 式, 請 國 防 部 配 合 辦 理 ( 二 ) 國 防 部 96 年 度 計 畫 釋 出 之 土 地, 請 准 就 地 上 建 物 併 同 變 更 為 非 公 用 財 產 或 報 廢

More information

chineseall

chineseall 太 阳 照 在 天 鹅 洲 上 刘 继 明 1 上 篇 一 晚 饭 后 1 河 口 镇 派 出 所 的 所 长 周 斌 从 宿 舍 里 出 来 i 经 过 值 班 室 时 8 对 翘 着 二 郎 腿 看 电 视 的 民 警 王 长 征 喊 了 一 声 : 小 王 1 别 看 电 视 啦 i 陪 我 出 去 转 转 吧 y 在 民 警 小 王 的 印 象 中 上 周 斌 是 一 位 忠 于 职 守 的

More information

釋禪波羅蜜次第法門

釋禪波羅蜜次第法門 释 禅 波 罗 蜜 次 第 法 门 第 十 二 讲 最 尊 贵 的 净 莲 上 师 讲 解 讲 于 新 加 坡 大 悲 佛 教 中 心 二 一 年 六 月 三 日 各 位 法 师! 各 位 居 士 大 德! 阿 弥 陀 佛! 我 们 今 晚 介 绍 魔 事 什 么 叫 魔 事 呢? 就 是 指 魔 罗 所 做 的 事, 叫 做 魔 事 那 魔 罗 做 什 么 事 呢? 就 是 经 常 以 破 坏 众

More information

证券代码:600754 证券简称:锦江股份 公告编号:【】

证券代码:600754         证券简称:锦江股份          公告编号:【】 证 券 代 码 :600754/900934 证 券 简 称 : 锦 江 股 份 / 锦 江 B 股 公 告 编 号 :2016-017 上 海 锦 江 国 际 酒 店 发 展 股 份 有 限 公 司 日 常 关 联 交 易 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内

More information

1700 装 卸 搬 运 7645 装 卸 搬 运 服 务 2100 建 筑 7410 工 程 服 务 11% 装 卸 搬 运 服 务, 是 指 使 用 装 卸 搬 运 工 具 或 者 人 力 畜 力 将 货 物 在 运 输 工 具 之 间 装 卸 现 场 之 间 或 者 运 输 工 具 与 装 卸

1700 装 卸 搬 运 7645 装 卸 搬 运 服 务 2100 建 筑 7410 工 程 服 务 11% 装 卸 搬 运 服 务, 是 指 使 用 装 卸 搬 运 工 具 或 者 人 力 畜 力 将 货 物 在 运 输 工 具 之 间 装 卸 现 场 之 间 或 者 运 输 工 具 与 装 卸 营 改 增 征 收 品 目 对 照 表 1110 铁 路 货 物 运 输 1120 铁 路 旅 客 运 输 7110 铁 路 运 输 服 务 11% 铁 路 运 输 服 务, 是 指 通 过 铁 路 运 送 货 物 或 者 旅 客 的 运 输 业 务 活 动 1210 公 路 货 物 运 输 1220 公 路 旅 客 运 输 1300 城 市 公 共 交 通 业 7120 其 他 陆 路 运 输 服

More information

前 言 教 育 无 小 事, 它 成 就 着 学 生 的 未 来 作 为 教 师, 他 们 无 时 无 刻 不 在 关 注 着 学 生 的 成 长 学 生 的 未 来 学 生 就 像 一 朵 含 苞 待 放 的 花 朵, 需 要 老 师 们 的 细 心 呵 护, 给 学 生 需 要 的 东 西, 而

前 言 教 育 无 小 事, 它 成 就 着 学 生 的 未 来 作 为 教 师, 他 们 无 时 无 刻 不 在 关 注 着 学 生 的 成 长 学 生 的 未 来 学 生 就 像 一 朵 含 苞 待 放 的 花 朵, 需 要 老 师 们 的 细 心 呵 护, 给 学 生 需 要 的 东 西, 而 前 言 教 育 无 小 事, 它 成 就 着 学 生 的 未 来 作 为 教 师, 他 们 无 时 无 刻 不 在 关 注 着 学 生 的 成 长 学 生 的 未 来 学 生 就 像 一 朵 含 苞 待 放 的 花 朵, 需 要 老 师 们 的 细 心 呵 护, 给 学 生 需 要 的 东 西, 而 不 是 给 学 生 想 要 的 这 花 朵 需 要 水 分, 他 们 就 给 它 水 分 ; 需 要

More information

《盗墓笔记》 南派三叔/著

《盗墓笔记》 南派三叔/著 盗 墓 笔 记 南 派 三 叔 / 著 五 十 年 前, 一 群 长 沙 土 夫 子 ( 盗 墓 贼 ) 挖 到 了 一 部 战 国 帛 书, 残 篇 中 记 载 了 一 座 奇 特 的 战 国 古 墓 的 位 置, 但 那 群 土 夫 子 在 地 下 碰 上 了 诡 异 事 件, 几 乎 全 部 身 亡 五 十 年 后, 其 中 一 个 土 夫 子 的 孙 子 在 先 人 笔 记 中 发 现 了

More information

<CFFBB7D1D5DFD0D0CEAAD1A72E6D7073>

<CFFBB7D1D5DFD0D0CEAAD1A72E6D7073> 第 3 章 消 费 者 的 需 要 与 动 机 学 习 目 标 /73 引 例 对 十 大 城 市 消 费 者 消 费 心 态 的 调 查 /74 3.1 消 费 者 需 要 的 特 征 与 形 态 /75 3.2 消 费 者 需 要 的 种 类 与 基 本 内 容 /78 3.3 消 费 者 的 购 买 动 机 /82 本 章 小 结 /89 主 要 概 念 和 观 念 /89 重 点 实 务 和

More information

独立学院建设与发展

独立学院建设与发展 独 立 学 院 建 设 与 发 展 ( 专 辑 第 1 辑 ) 目 录 文 化 建 设 论 独 立 学 院 的 校 园 文 化 建 设 江 净 帆 (1) 大 学 文 化 学 理 与 校 长 引 领 下 的 独 立 学 院 文 化 建 设 包 万 平 (4) 独 立 学 院 校 园 文 化 建 设 的 现 状 与 对 策 陈 恒 初 (8) 管 理 体 制 改 革 与 完 善 我 国 独 立 学 院

More information

-------------------------------------------------------------------------------- 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 22 23 1990 -------------------

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

EDK_MicroBlaze_tutorial.doc

EDK_MicroBlaze_tutorial.doc EDK 6.3 MicroBlaze Tutorial Page 1 of 22 EDK 6.3 MicroBlaze Tutorial 声 明 : 本 人 初 次 接 触 Xilinx EDK, 摸 索 了 一 段 时 间, 在 网 上 搜 索 了 一 些 资 料 终 于 试 验 成 功 闲 来 无 事, 想 到 当 初 寻 找 文 档 的 种 种 艰 辛, 并 且 为 了 纪 念 这 一 历 史

More information

串口、网口猫池使用说明书

串口、网口猫池使用说明书 金 笛 猫 池 用 户 手 册 硬 件 设 备 : WAVECOM MODEM 池 设 备 名 称 : RS232 串 口 MODEM 池 RJ45 网 口 MODEM 池 版 权 属 于 北 京 春 笛 网 络 信 息 技 术 服 务 有 限 公 司 1 目 录 金 笛 RS232 串 口 八 口 MODEM 池 产 品 介 绍... 4 金 笛 Modem 池 产 品 介 绍... 4 产 品

More information

STC-U10

STC-U10 TW STAMP MAKER STC-U10 AD-A12200L AC STC-PC10 USB / 0.17 fl.oz. (5 ml) 15 mm 15 mm ( 3 /5" 3 /5") (2) 29 48 1 ... 1... 2... 4... 4... 9... 10... 12... 12... 12... 13... 15... 15... 16... 16... 16... 17...

More information

<4D6963726F736F667420576F7264202D20D0C5CFA2BBAFB7A2D5B9D6D8B5E3D7A8CFEEB9E6BBAE2E646F63>

<4D6963726F736F667420576F7264202D20D0C5CFA2BBAFB7A2D5B9D6D8B5E3D7A8CFEEB9E6BBAE2E646F63> 国 民 经 济 和 社 会 发 展 第 十 个 五 年 计 划 信 息 化 发 展 重 点 专 项 规 划 前 言 信 息 化 是 当 今 世 界 科 技 经 济 与 社 会 发 展 的 重 要 趋 势 信 息 技 术 已 广 泛 渗 透 到 经 济 和 社 会 的 各 个 领 域, 推 动 人 类 社 会 生 产 力 达 到 一 个 崭 新 的 高 度 全 球 信 息 化 开 创 了 世 界 经

More information

关于建立境内违法互联网站黑名单管理制度的通知

关于建立境内违法互联网站黑名单管理制度的通知 关 于 建 立 境 内 违 法 互 联 网 站 黑 名 单 管 理 制 度 的 通 知 各 省 自 治 区 直 辖 市 和 计 划 单 列 市 通 信 管 理 局 新 闻 办 教 育 厅 ( 教 委 ) 公 安 厅 ( 局 ) 国 家 安 全 厅 ( 局 ) 文 化 厅 ( 局 ) 卫 生 厅 ( 局 ) 工 商 行 政 管 理 局 广 播 影 视 局 新 闻 出 版 局 食 品 药 品 监 督 管

More information

? 這 全 都 是 市 政 府 提 供 給 我 的 資 料 低 底 盤 公 車 計 畫 96 年 預 算 新 台 幣 4,500 萬 元 97 年 預 算 新 台 幣 1 億 6,500 萬 元 98 年 預 算 新 台 幣 3 億 2,300 萬 元, 共 有 307 台 低 底 盤 公 車,99

? 這 全 都 是 市 政 府 提 供 給 我 的 資 料 低 底 盤 公 車 計 畫 96 年 預 算 新 台 幣 4,500 萬 元 97 年 預 算 新 台 幣 1 億 6,500 萬 元 98 年 預 算 新 台 幣 3 億 2,300 萬 元, 共 有 307 台 低 底 盤 公 車,99 民 政 部 門 質 詢 第 13 組 質 詢 日 期 : 中 華 民 國 98 年 10 月 6 日 質 詢 對 象 : 民 政 部 門 有 關 各 單 位 質 詢 議 員 : 陳 嘉 銘 周 柏 雅 陳 碧 峰 李 文 英 顏 聖 冠 王 孝 維 洪 健 益 計 7 位 時 間 126 分 鐘 速 記 錄 98 年 10 月 6 日 速 記 : 何 采 穎 主 席 ( 李 議 員 慶 元 ): 現

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

Data Management Software CL-S10w

Data Management Software CL-S10w Data Management Software CL-S10w WindowsWindows 7 Microsoft Windows 7 Professional Operating System WindowsWindows 8.1 Microsoft Windows 8.1 Pro Operating System WindowsWindows 10 Microsoft Windows 10

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

VME

VME VMEbus VME 35 VME VME VME EOL Tsi148 VME PCI-X Marvell 2025 VME Power Architecture VME VME VME VME VME VME VME PCI VME VME VME 32 32 CPU I/O VME VMEbus 20 70 VERSAbus European Microsystems group VERSAbus

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

TR-10_UserManual_Cht.indd

TR-10_UserManual_Cht.indd IPEVO Skype www.ipevo.com.tw 1. TR-10 1-1 TR-10 1-2 TR-10 1-3 2. 2-1 2-2 3. 4. TR-10 4-1 Skype 4-2 4-3 4-4 4-5 4-6 TR-10 4-7 5. IPEVO Control Center 5-1 IPEVO Control Center 5-2 5-3 6. freerec 6-1 freerec

More information

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作 安 徽 : 道 德 信 贷 帮 助 想 干 事 的 好 人 干 成 事 摘 要 安 徽 省 实 施 道 德 信 贷 工 程 两 年 多 来, 通 过 对 道 德 模 范 和 身 边 好 人 优 先 评 级 授 信 优 惠 贷 款 利 率 等 举 措, 为 有 需 要 的 道 德 模 范 和 身 边 好 人 化 解 资 金 之 渴 道 德 模 范 和 身 边 好 人 用 善 行 义 举 温 暖 了 社

More information

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项 关 于 申 报 2016 年 度 广 东 省 基 础 与 应 用 基 础 研 究 专 项 资 金 ( 省 自 然 科 学 基 金 ) 项 目 的 通 知 来 源 : 广 东 省 科 技 厅 基 础 研 究 与 科 研 条 件 处 发 布 日 期 : 2015-08-12 粤 科 函 基 字 (2015)1150 号 按 照 广 东 省 省 级 财 政 专 项 资 金 管 理 办 法 规 定,2016

More information

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢?

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢? 撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢? 普 雷 斯 科 特 完 全 没 有 掩 饰 他 的 紧 张, 我 想 那 些 墙 壁 很 厚, 它

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

untitled

untitled 露 年 老 女 兩 留 兩 離 不 不 不 料 車 不 料 來 1. 22 年 讀 律 說 2. 女 20 老 女 麗 3. 45 老 論 4. 林 女 43 見 識 女 5. 45 來 老 6. 女 20 女 7. 劉 女 45 利 說 8. 60 9. 50 良 10. 50 11. 45 12. 45 露 1 13. 老 14. 里 50 兩 L 不 1 : L L 切 2 1 切 泥 留 露

More information

秘密

秘密 錯 魂 記 歌 仔 戲 劇 本 劇 情 簡 介 義 明 雅 蓮 夫 妻 膝 下 無 子, 養 育 年 齡 差 距 甚 大 的 小 妹 慧 君, 他 們 都 熱 愛 南 管 音 樂, 而 台 南 城 的 南 管 秋 祭 大 會 將 近, 這 三 人 所 屬 的 館 閣 雅 音 齋 也 正 加 緊 練 習, 希 望 能 在 秋 祭 大 會 中 奪 得 頭 彩 某 日 姑 嫂 兩 人 出 門 行 香, 天

More information

E11701

E11701 編 輯 推 薦 無 悔 付 出 的 勇 氣 身 邊 是 不 是 有 這 樣 的 人, 總 將 自 己 的 需 求 放 在 最 後, 為 了 所 愛 的 人 無 怨 無 悔 付 出? 以 前 小 編 總 覺 得 這 樣 的 人 很 偉 大 也 很 傻, 怎 麼 能 將 自 己 的 一 切 全 部 投 入 毫 不 保 留? 或 許 是 因 為 見 到 身 邊 朋 友 為 了 愛 情 義 無 反 顧, 明

More information

计算机网络实验说明

计算机网络实验说明 计算机网络实验说明 龚旭东 电三楼 420 lzgxd@mailustceducn 2011 年 11 月 1 日 龚旭东 (TA) 计算机网络实验说明 2011 年 11 月 1 日 1 / 20 Outline 1 实验系统介绍 实验环境实验流程 2 实验内容编程实验交互实验观察实验 3 一些控制台命令 4 实验报告说明 龚旭东 (TA) 计算机网络实验说明 2011 年 11 月 1 日 2

More information

目 錄 版 次 變 更 記 錄... 2 原 始 程 式 碼 類 型 之 使 用 手 冊... 3 一 安 裝 軟 體 套 件 事 前 準 備... 3 二 編 譯 流 程 說 明... 25 1

目 錄 版 次 變 更 記 錄... 2 原 始 程 式 碼 類 型 之 使 用 手 冊... 3 一 安 裝 軟 體 套 件 事 前 準 備... 3 二 編 譯 流 程 說 明... 25 1 科 技 部 自 由 軟 體 專 案 原 始 程 式 碼 使 用 手 冊 Source Code Manual of NSC Open Source Project 可 信 賴 的 App 安 全 應 用 框 架 -App 應 用 服 務 可 移 轉 性 驗 證 Trusted App Framework -Transferability Verification on App MOST 102-2218-E-011-012

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

B1B1z_ch_print.pdf

B1B1z_ch_print.pdf Since 1992 PLC B1/B1z www.fatek.com SoC PLC CPU (HLS) / FLASHSRAM BGA PLC SoC CPU I/O PCB SoC B1/ B1z PLC 01 SoC B1/B1z PLC PLC B1/B1z PLC B1/B1z PLC FBs PLC FBs PLC 02 03 04 AC 5 C 40 C 5 C 55 C -25 C

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information