目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 选型表...7 方框图...8 引脚图...9 引脚说明...10 极限参数...12 直流电气特性...12 交流电气特性...14 ADC 特性...15 比较器电气特性...15 上电复位特性...16 BandGa

Size: px
Start display at page:

Download "目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 选型表...7 方框图...8 引脚图...9 引脚说明...10 极限参数...12 直流电气特性...12 交流电气特性...14 ADC 特性...15 比较器电气特性...15 上电复位特性...16 BandGa"

Transcription

1 HT66F016/HT66F017 HT68F016/HT68F017 HT66F016R/HT66F017R HT68F016R/HT68F017R 版本 : V1.50 日期 :

2 目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 选型表...7 方框图...8 引脚图...9 引脚说明...10 极限参数...12 直流电气特性...12 交流电气特性...14 ADC 特性...15 比较器电气特性...15 上电复位特性...16 BandGap 电压参考 (VBG) 特性曲线...16 系统结构...17 时序和流水线结构 程序计数器 堆栈 算术逻辑单元 ALU Flash 程序存储器...19 结构 特殊向量 查表 查表范例 在线编程 数据存储器...22 结构 特殊功能寄存器...25 间接寻址寄存器 IAR0,IAR 间接寻址指针 MP0,MP 存储区指针 BP 累加器 ACC 程序计数器低字节寄存器 PCL 表格寄存器 TBLP,TBHP,TBLH 状态寄存器 STATUS EEPROM 数据寄存器...29 EEPROM 数据寄存器结构 Rev

3 EEPROM 寄存器 从 EEPROM 中读取数据 写数据到 EEPROM 写保护 EEPROM 中断 编程注意事项 振荡器...33 振荡器概述 系统时钟配置 外部晶体 / 陶瓷振荡器 HXT 内部 RC 振荡器 HIRC 内部 32kHz 振荡器 LIRC 辅助振荡器 工作模式和系统时钟...36 系统时钟 系统工作模式 控制寄存器 快速唤醒 工作模式切换和唤醒 静态电流的注意事项 唤醒 编程注意事项 看门狗定时器...46 看门狗定时器时钟源 看门狗定时器控制寄存器 看门狗定时器操作 看门狗定时器使能 / 除能控制 复位和初始化...48 复位功能 复位初始状态 输入 / 输出端口...54 上拉电阻 PA 口唤醒 输入 / 输出端口控制寄存器 引脚重置功能 引脚重置寄存器 输入 / 输出引脚结构 编程注意事项 定时器模块 TM...58 简介 TM 操作 TM 时钟源 TM 中断 Rev

4 TM 外部引脚 TM 输入 / 输出引脚控制寄存器 编程注意事项 简易型 CTM...62 简易型 TM 操作 简易型 TM 寄存器介绍 简易型 TM 工作模式 标准型 TM STM...73 标准型 TM 操作 标准型 TM 寄存器介绍 标准型 TM 工作模式 A/D 转换器...87 A/D 简介 A/D 转换寄存器介绍 A/D 操作 A/D 输入引脚 A/D 转换步骤 编程注意事项 A/D 转换功能 A/D 转换应用范例 比较器...96 比较器操作 比较器寄存器 比较器中断 编程注意事项 中断...98 中断寄存器 中断操作 外部中断 比较器中断 多功能中断 A/D 转换器中断 时基中断 EEPROM 中断 LVD 中断 TM 中断 中断唤醒功能 编程注意事项 低电压检测 LVD LVD 寄存器 LVD 操作 配置选项 应用电路 Rev

5 指令集 简介 指令周期 数据的传送 算术运算 逻辑和移位运算 分支和控制转换 位运算 查表运算 其它运算 指令集概要 惯例 指令定义 封装信息 pin NSOP (150mil) 外形尺寸 pin SSOP (150mil) 外形尺寸 Rev

6 特性 CPU 特性 周边特性 工作电压 : fsys=8mhz:2.2v~5.5v fsys=12mhz:2.7v~5.5v fsys=16mhz:3.3v~5.5v fsys=20mhz:4.5v~5.5v VDD=5V, 系统时钟为 20MHz 时, 指令周期为 0.2μs 提供暂停和唤醒功能, 以降低功耗 三种振荡模式 : 外部晶振 HXT 内部 RC HIRC 内部 32kHz RC LIRC 多种工作模式 : 正常 低速 空闲和休眠 内部集成 8MHz 振荡器, 无需外接元件 所有指令都可在 1 或 2 个指令周期内完成 查表指令 63 条指令 最多可达 8 层堆栈 位操作指令 Flash 程序存储 : 最大可达 2K 16 RAM 数据存储 : 最大可达 True EEPROM 存储器 :64 8 看门狗定时器功能 14 个双向 I/O 口 2 个引脚与外部中断口共用 多个定时器模块用于时间测量 捕捉输入 比较匹配输出 PWM 输出及单脉冲输出 比较器功能 双时基功能, 可提供固定时间的中断信号 低电压复位功能 低电压检测功能 4 通道 12 位分辨精度的 A/D 转换器 封装类型 :16-pin NSOP/SSOP Flash 程序存储器烧录可达 100,000 次 Flash 程序存储器数据可保存 10 年以上 True EEPROM 程序存储器烧录可达 1,000,000 次 True EEPROM 程序存储器数据可保存 10 年以上 Rev

7 概述 选型表 HT6xF01x 系列单片机是具有 8 位高性能精简指令集的 Flash 单片机 该系列单片机具有一系列功能和特性, 其 Flash 存储器可多次编程的特性给用户提供了极大的方便 存储器方面, 还包含了一个 RAM 数据存储器和一个可用于存储序号 校准数据等非易失性数据的 True EEPROM 存储器 在模拟特性方面, 此系列单片机包含都一个多通道 12 位 A/D 转换器和比较器功能 以及多个使用灵活的定时器模块, 可提供定时功能 脉冲产生功能及 PWM 产生功能 内部看门狗定时器 低电压复位和低电压检测等内部保护特性, 外加优秀的抗干扰和 ESD 保护性能, 确保单片机在恶劣的电磁干扰环境下可靠地运行 此系列单片机提供了丰富的 HXT HIRC 和 LIRC 振荡器功能选项, 且内建完整的系统振荡器, 无需外围元器件 其在不同工作模式之间动态切换的能力, 为用户提供了一个优化单片机操作和减少功耗的手段 外加时基功能 I/O 使用灵活等其它特性, 使这款单片机可以广泛应用于各种产品中, 例如电子测量仪器 环境监控 手持式测量工具 家庭应用 电子控制工具 马达控制等方面 对此系列的芯片而言, 大多数的特性参数都是一样的 主要差异在于 A/D 转换功能 下表列出了各单片机的主要特性 型号 ROM RAM EEPROM I/O A/D 16-bit TM 时基比较器堆栈封装类型 HT68F016 1K STM NSOP/SSOP HT68F017 2K CTM 1 STM NSOP/SSOP HT66F016 1K bit 4 STM NSOP HT66F017 2K bit 4 CTM 1 STM NSOP 注 : 提供外部复位引脚 Rev

8 方框图. = ID H C = H E C + EH?K EJHO M 8 J= CA, AJA?J 9 C 6 E AH & > EJ M 8 J= CA 4 AIAJ 5 J=? HA 4 AIAJ + EH?K EJ 1 JAHHK FJ + JH AH - NJAH = 4 + : J= I?E = J HI. = ID 2 H CH= A HO , =J= A HO 6 E A*= IA 1 JAH = 4+ I?E =J HI *EJ), + LA HJAH + F= H= J H 1 6 E K AI 4 ), =J= A HO Rev

9 引脚图 2 * 2 * 62 2 * 2 )! )! 2 ) : ) 2 ) + ) ) + ) 8 5 5) 8 5 5! " # $ % & $ # "! ' 2 *! 2 *" 2 *# 2 )" )# )$ )% 62 8,, ) 8,, 2 * 2 * 62 2 * 2 )! )! 2 ) : ) 2 ) + ) ) + ) 8 5 5) 8 5 5! " # $ % & $ # "! ' 2 *! 2 *" 2 *# 2 )" )# )$ )% ,, ) 8,, 0 6$ $. $ $ 5 2 ) 0 6$ $. $ 4 $ 5 2 * 2 * 2 * * 62 2 )! )! 2 ) : ) 2 ) + ) ) + ) 8 5 5) 8 5 5! " # $ % & $ # "! ' 2 *! 2 *" 2 *# 2 )" )# )$ )% ,, ) 8,, 2 * 2 * * 62 2 )! )! 2 ) : ) 2 ) + ) ) + ) 8 5 5) 8 5 5! " # $ % & $ # "! ' 2 *! 2 *" 2 *# 2 )" )# )$ )% ,, ) 8,, 0 6$ $. % $ 5 2 ) 0 6$ $. % 4 $ 5 2 * 2 * 2 * 62 2 * 2 )! ) : 2 ) + 2 ) ) 8 5 5! " # $ % & $ # "! 0 6$ &. $ $ 5 2 ) 55 2 ) ' 2 *! 2 *" 2 *# 2 )" )# )$ )% 62 8,, ) 8,, 2 * 2 * 62 2 * 2 )! ) : 2 ) + 2 ) ) 8 5 5! " # $ % & $ # "! 0 6$ &. $ 4 $ 5 2 * 55 2 * ' 2 *! 2 *" 2 *# 2 )" )# )$ )% ,, ) 8,, 2 * 2 * * 62 2 )! ) : 2 ) + 2 ) ) 8 5 5! " # $ % & $ # "! 0 6$ &. % $ 5 2 ) 55 2 ) ' 2 *! 2 *" 2 *# 2 )" )# )$ )% ,, ) 8,, 2 * 2 * * 62 2 )! ) : 2 ) + 2 ) ) 注 :1 括号内的引脚为可编程改变位置的引脚 2 若共用脚同时有多种输出, / 号右侧的引脚名具有更高的优先级 3 VDD&AVDD 指的是 VDD 和 AVDD 为同一个引脚! " # $ % & $ # "! 0 6$ &. % 4 $ 5 2 * 55 2 * ' 2 *! 2 *" 2 *# 2 )" )# )$ )% ,, ) 8,, Rev

10 引脚说明 除了电源引脚外, 该系列单片机的所有引脚都以它们的端口名称进行标注, 例如 PA0 PA1 等, 用于描述这些引脚的数字输入 / 输出功能 然而, 这些引脚也与其它功能共用, 如模数转换器, 定时器模块引脚等 每个引脚的功能如下表所述, 而引脚配置的详细内容见规格书其它章节 下表只介绍直接与 MCU 相关的引脚 其它的用于外设功能的引脚将在之后的章节相应外设功能模块中进行介绍 HT66F016/HT68F016 引脚名称 功能 OP I/T O/T 共用引脚映射 PA0~PA7 端口 A PAWU PAPU ST CMOS PB0~PB5 端口 B PBPU ST CMOS AN0~AN3 ADC 输入 ACERL AN PA0~PA3 VREF ADC 参考输入 ADCR1 AN PA1 C- 比较器输入 AN PA1 C+ 比较器输入 CPC AN PA0 CX 比较器输出 CMOS PA2 TCK1 TM1 输入 PRM ST PA2,PA3 TP1 TM1 输入 / 输出 INT0 外部中断 0 INT1 外部中断 1 PRM TMPC PRM INTC0 INTEG PRM INTC2 INTEG ST CMOS PA4,PA6,PA7,PB1 ST PA3,PA2 ST PA5,PA4 OSC1 HXT 脚 CO HXT PA6 OSC2 HXT 脚 CO HXT PA5 RES 复位输入脚 CO ST PA7 VDD 电源电压 PWR VSS 地 PWR 注 :I/T: 输入类型 ;O/T: 输出类型 OP: 通过配置选项 (CO) 或寄存器选项来设置 PWR: 电源 ;CO: 配置选项 ;ST: 斯密特触发输入 CMOS:CMOS 输出 AN: 模拟输入脚 HXT: 高速晶体振荡器 HT68F016 没有 AN0~AN3,VREF 引脚 Rev

11 HT66F017/HT68F017 引脚名称 功能 OP I/T O/T 共用引脚映射 PA0~PA7 端口 A PAWU PAPU ST CMOS PB0~PB5 端口 B PBPU ST CMOS AN0~AN3 ADC 输入 ACERL AN PA0~PA3 VREF ADC 参考输入 ADCR1 AN PA1 C- 比较器输入 AN PA1 C+ 比较器输入 CPC AN PA0 CX 比较器输出 CMOS PA2 TCK0 TM0 输入 PRM ST PA4,PA6,PA7,PB1 TCK1 TM1 输入 PRM ST PA2,PA3 TP0 TP1 TM0 输入 / 输出 TM1 输入 / 输出 INT0 外部中断 0 INT1 外部中断 1 PRM TMPC PRM TMPC PRM INTC0 INTEG PRM INTC2 INTEG ST CMOS PA2,PA3,PA5,PB0 ST CMOS PA4,PA6,PA7,PB1 ST PA3,PA2 ST PA5,PA4 OSC1 HXT 脚 CO HXT PA6 OSC2 HXT 脚 CO HXT PA5 RES 复位输入脚 CO ST PA7 VDD 电源电压 * PWR AVDD ADC 电源电压 * PWR VSS 地 ** PWR AVSS ADC 地 ** PWR 注 :I/T: 输入类型 ;O/T: 输出类型 OP: 通过配置选项 (CO) 或寄存器选项来设置 PWR: 电源 ;CO: 配置选项 ;ST: 斯密特触发输入 CMOS:CMOS 输出 ; HXT: 高速晶体振荡器 *:VDD 是单片机电源电压, 而 AVDD 是 ADC 电源电压 AVDD 与 VDD 在内部是同一个引脚 **:VSS 是单片机地引脚, 而 AVSS 是 ADC 地引脚 AVSS 与 VSS 在内部是同一个引脚 HT68F017 没有 AN0~AN3,VREF 引脚 Rev

12 极限参数 电源供应电压...VSS-0.3V~VSS+6.0V 端口输入电压...VSS-0.3V~VDD+0.3V 储存温度 C~125 C 工作温度 C~85 C IOL 总电流... 80mA IOH 总电流...-80mA 总功耗 mW 注 : 这里只强调额定功率, 超过极限参数所规定的范围将对芯片造成损害, 无法预期芯片在上述标示范围外的工作状态, 而且若长期在标示范围外的条件下工作, 可能影响芯片的可靠性 直流电气特性 符号 VDD IDD1 IDD2 IDD3 IIDLE0 工作电压 (HXT) 工作电压 (HIRC) 参数 工作电流 (HXT) (fsys=fh,fs=fsub=flirc) 工作电流 (HIRC) (fsys=fh,fs=fsub=flirc) 工作电流 (LIRC) (fsys=fl=flirc,fs=fsub=flirc) IDLE0 模式静态电流 (LIRC on) VDD Ta=25 C 测试条件条件 最小 典型 最大 单位 fsys=8mhz V fsys=12mhz V fsys=16mhz V fsys=20mhz V fsys=8mhz V fsys=12mhz V fsys=16mhz V 3V 无负载,fH=8MHz, ma 5V ADC off,wdt 使能 ma 3V 无负载,fH=12MHz, ma 5V ADC off,wdt 使能 ma 3.3V 无负载,fH=16MHz, ma 5V ADC off,wdt 使能 ma 5V 无负载,fH=20MHz, ADC off,wdt 使能 ma 3V 无负载,fH=8MHz, ma 5V ADC off,wdt 使能 ma 3V 无负载, μa 5V ADC off,wdt 使能 μa 3V 无负载, μa 5V ADC off,wdt 使能 μa Rev

13 符号 IIDLE1 ISLEEP0 ISLEEP1 VIL1 VIH1 参数 IDLE1 模式静态电流 (HXT) IDLE1 模式静态电流 (HIRC) SLEEP0 模式静态电流 (LIRC off) SLEEP1 模式静态电流 (LIRC on) 输入 / 输出口或除 RES 脚以外的低电平输入电压 输入 / 输出口或除 RES 脚以外高电平输入电压 VDD 测试条件 条件 最小典型最大单位 3V 无负载, ma 5V ADC off,wdt 使能 fsys=12mhz on ma 3V 无负载, ma ADC off,wdt 使能 5V fsys=8mhz on ma 3V 无负载, 1 μa 5V ADC off,wdt 除能 2 μa 3V 无负载, μa 5V ADC off,wdt 使能 μa 0 0.2VDD 5V VDD VDD 5V VIL2 低电平输入电压 (RES) 0 0.4VDD V VIH2 高电平输入电压 (RES) 0.9VDD VDD V VLVR1 LVR 使能, 选择 2.10V -5% % V VLVR2 LVR 使能, 选择 2.55V -5% % V 低电压复位电压 VLVR3 LVR 使能, 选择 3.15V -5% % V VLVR4 LVR 使能, 选择 3.80V -5% % V VLVD1 LVDEN=1,VLVD=2.0V -5% % V VLVD2 LVDEN=1,VLVD=2.2V -5% % V VLVD3 LVDEN=1,VLVD=2.4V -5% % V VLVD4 LVDEN=1,VLVD=2.7V -5% % V 低电压检测电压 VLVD5 LVDEN=1,VLVD=3.0V -5% % V VLVD6 LVDEN=1,VLVD=3.3V -5% % V VLVD7 LVDEN=1,VLVD=3.6V -5% % V VLVD8 LVDEN=1,VLVD=4.0V -5% % V ILVD1 使用 LVR 和 LVD 的额外功耗 LVR 除能,LVDEN= μa ILVD2 LVR 使能,LVDEN= μa VOL VOH RPH 输入 / 输出口输出低电平 输入 / 输出口输出高电平 输入 / 输出口上拉电阻 3V IOL=9mA 0.3 V 5V IOL=20mA 0.5 V 3V IOH=-3.2mA 2.7 V 5V IOH=-7.4mA 4.5 V 3V kω 5V kω V V 参考电压 -3% % V I125 使用 1.25V 参考电压的额外功耗 μa V V Rev

14 交流电气特性 符号 参数 VDD fcpu 工作时钟 fsys 系统时钟 (HXT) fhirc 系统时钟 (HIRC) Ta=25 C 测试条件条件 最小 典型 最大 单位 2.2V~5.5V DC 8 MHz 2.7V~5.5V DC 12 MHz 3.3V~5.5V DC 16 MHz 4.5V~5.5V DC 20 MHz 2.2V~5.5V MHz 2.7V~5.5V MHz 3.3V~5.5V MHz 4.5V~5.5V MHz 3.0V~5.5V Ta=-40 C~85 C -6% 8 +6% MHz 4.5V~5.5V Ta=-40 C~85 C -2% 8 +2% MHz flirc 系统时钟 (LIRC) 5V Ta=25 C -10% % khz tint 中断脉宽 10 μs tlvr 低压复位时间 μs tlvd 低压中断时间 μs tlvds LVDO 稳定的时间 对于所有的 VLVD, LVR 除能 200 μs tbgs VBG 打开稳定时间 200 μs ttimer TCKn 输入脉宽 0.3 μs tsreset 软件复位时间 μs trstd tsst 系统复位时间 ( 上电复位 ) 系统复位时间 ( 除上电复位 ) 系统启动时间 ( 从 HALT 中唤醒, HALT 时,fSYS off) 系统启动时间 ( 从 HALT 中唤醒, HALT 时,fSYS on) ms ms fsys=hxt 128 fsys=hirc 16 fsys=lirc 2 tsys 2 tsys teerd EEPROM 读周期 2 4 tsys teewr EEPROM 写周期 2 4 ms 注 :1 tsys=1/fsys 2 为了保证 HIRC 振荡器的频率精度,VDD 与 VSS 间连接一个 0.1μF 的去耦电容, 并尽可能接近芯片 Rev

15 ADC 特性 符号 参数 VDD 测试条件 条件 最小值典型值最大值 Ta=25 C AVDD ADC 工作电压 VREF=AVDD V VADI ADC 输入电压 0 VREF V VREF ADC 参考电压 2 AVDD V DNL A/D 非线性微分误差 5V tadck=1.0μs ±1 ±2 LSB INL A/D 非线性积分误差 5V tadck=1.0μs ±2 ±4 LSB IADC tadck tadc tads TON2ST 打开 A/D 增加的功耗 A/D 时钟周期 A/D 转换时间 ( 包括 A/D 采样和保持时间 ) A/D 采样时间 A/D 转换启动到执行时间 单位 3V 无负载,tADCK=0.5μs ma 5V 无负载,tADCK=0.5μs ma 2.2~ 5.5V 2.2~ 5.5V 2.2~ 5.5V 2.2~ 5.5V μs 12-bit ADC 16 tadck 4 tadck 2 μs 比较器电气特性 符号 参数 VDD 测试条件 条件 Ta=25 C 最小典型最大单位 VCMP 比较器工作电压 V ICMP 比较器工作电流 3V μa 5V μa VCMPOS 比较器输入失调电压 mv VHYS 迟滞宽度 mv VCM 比较器共模电压范围 VSS VDD-1.4V V AOL 比较器开环增益 db tpd 比较器响应时间 100mV 偏置 ( 注 ) ns 注 : 测量方式为 : 当一只输入脚的输入电压为 VCM=(VDD-1.4)/2 时, 另一只输入脚的输入电压从 VSS 到 (VCM+100mV) 或从 VDD 到 (VCM-100mV) 转变 Rev

16 上电复位特性 符号参数 VDD Ta=25 C 测试条件条件 最小 典型 最大 单位 VPOR 上电复位电压 100 mv RRVDD 上电复位电压速率 V/ms tpor VDD 保持为 VPOR 的最小时间 1 ms 8,, J ,, E A BandGap 电压参考 (VBG) 特性曲线 Rev

17 系统结构 内部系统结构是 Holtek 单片机具有良好性能的主要因素 由于采用 RISC 结构, 此系列单片机具有高运算速度和高性能的特点 通过流水线的方式, 指令的取得和执行同时进行, 此举使得除了跳转和调用指令外, 其它指令都能在一个指令周期内完成 8 位 ALU 参与指令集中所有的运算, 它可完成算术运算 逻辑运算 移位 递增 递减和分支等功能, 而内部的数据路径则是以通过累加器和 ALU 的方式加以简化 有些寄存器在数据存储器中被实现, 且可以直接或间接寻址 简单的寄存器寻址方式和结构特性, 确保了在提供具有最大可靠度和灵活性的 I/O 和 A/D 控制系统时, 仅需要少数的外部器件 使得这些单片机适用于低成本和批量生产的控制应用 时序和流水线结构 主系统时钟由 HXT, HIRC 或 LIRC 振荡器提供, 它被细分为 T1~T4 四个内部产生的非重叠时序 在 T1 时间, 程序计数器自动加一并抓取一条新的指令 剩下的时间 T2~T4 完成译码和执行功能, 因此, 一个 T1~T4 时钟周期构成一个指令周期 虽然指令的抓取和执行发生在连续的指令周期, 但单片机流水线结构会保证指令在一个指令周期内被有效执行 除非程序计数器的内容被改变, 如子程序的调用或跳转, 在这种情况下指令将需要多一个指令周期的时间去执行 B5 ;5 5OIJ A +? 2 D = IA +? 6 2 D = IA +? 6 2 D = IA +? 6! 2 D = IA +? 6 " 2 H C = H + K JAH EF A E E C. AJ?D 1 IJ NA?K JA 1 IJ 2+. AJ?D 1 IJ 2+ - NA?K JA 1 IJ 2+. AJ?D 1 IJ 2+ - NA?K JA 1 IJ 2+ 系统时序和流水线 如果指令牵涉到分支, 例如跳转或调用等指令, 则需要两个指令周期才能完成指令执行 需要一个额外周期的原因是程序先用一个周期取出实际要跳转或调用的地址, 再用另一个周期去实际执行分支动作, 因此用户需要特别考虑额外周期的问题, 尤其是在执行时间要求较严格的时候 8 ) 0 + ),- ) ;! " # $ + 2 0, - ) ; 2. AJ?D 1 IJ -NA?KJA1 I J. AJ?D 1 I J 指令捕捉 - NA?K JA 1 IJ. AJ?D 1 I J!. K ID 2 EF A E A. AJ?D 1 IJ $ - NA?K JA 1 IJ $. AJ?D 1 IJ % Rev

18 程序计数器 在程序执行期间, 程序计数器用来指向下一个要执行的指令地址 除了 JMP 和 CALL 指令需要跳转到一个非连续的程序存储器地址之外, 它会在每条指令执行完成以后自动加一 选择不同型号的单片机, 程序寄存器的宽度会因程序存储器的容量的不同而不同 只有较低的 8 位, 即所谓的程序计数器低字节寄存器 PCL, 可以被用户直接读写 当执行的指令要求跳转到不连续的地址时, 如跳转指令 子程序调用 中断或复位等, 单片机通过加载所需要的位址到程序寄存器来控制程序, 对于条件跳转指令, 一旦条件符合, 在当前指令执行时取得的下一条指令将会被舍弃, 而由一个空指令周期来取代 单片机型号 HT66F016 HT68F016 HT66F017 HT68F017 程序计数器程序计数器高字节 PCL 寄存器 PC9~PC8 PCL7~PCL0 PC10~PC8 程序计数器 程序计数器的低字节, 即程序计数器的低字节寄存器 PCL, 可以通过程序控制, 且它是可以读取和写入的寄存器 通过直接写入数据到这个寄存器, 一个程序短跳转可直接执行, 然而只有低字节的操作是有效的, 跳转被限制在存储器的当前页中, 即 256 个存储器地址范围内, 当这样一个程序跳转要执行时, 会插入一个空指令周期 PCL 的使用可能引起程序跳转, 因此需要额外的指令周期 堆栈 堆栈是一个特殊的存储空间, 用来存储程序计数器中的内容 各单片机有不同的堆栈层数, 堆栈既不是数据部分也不是程序空间部分, 而且它既不是可读取也不是可写入的 当前层由堆栈指针 (SP) 加以指示, 同样也是不可读写的 在子程序调用或中断响应服务时, 程序计数器的内容被压入到堆栈中 当子程序或中断响应结束时, 返回指令 (RET 或 RETI) 使程序计数器从堆栈中重新得到它以前的值 当一个芯片复位后, 堆栈指针将指向堆栈顶部 2 H C = H + K AJH 5 J=? 2 E JAH 6 F B5J=? 5 J=? A LA 5 J=? A LA 5 J=? A LA! 2 H C = H A HO * JJ B5J=? 5 J=? A LA 如果堆栈已满, 且有非屏蔽的中断发生, 中断请求标志会被置位, 但中断响应将被禁止 当堆栈指针减少 ( 执行 RET 或 RETI), 中断将被响应 这个特性提供程序设计者简单的方法来预防堆栈溢出 然而即使堆栈已满,CALL 指令仍然可以被执行, 而造成堆栈溢出 使用时应避免堆栈溢出的情况发生, 因为这可能导致不可预期的程序分支指令执行错误 若堆栈溢出, 则首个存入堆栈的程序计数器数据将会丢失 Rev

19 算术逻辑单元 ALU 单片机型号 堆栈层数 HT66F016/ HT68F016 4 HT66F017/ HT68F017 8 算术逻辑单元是单片机中很重要的部分, 执行指令集中的算术和逻辑运算 ALU 连接到单片机的数据总线, 在接收相关的指令码后执行需要的算术与逻辑操作, 并将结果存储在指定的寄存器, 当 ALU 计算或操作时, 可能导致进位 借位或其它状态的改变, 而相关的状态寄存器会因此更新内容以显示这些改变, ALU 所提供的功能如下 : 算术运算 :ADD,ADDM,ADC,ADCM,SUB,SUBM,SBC,SBCM,DAA 逻辑运算 :AND,OR,XOR,ANDM,ORM,XORM,CPL,CPLA 移位运算 :RRA,RR,RRCA,RRC,RLA,RL,RLCA,RLC 递增和递减 :INCA,INC,DECA,DEC 分支判断 :JMP,SZ,SZA,SNZ,SIZ,SDZ,SIZA,SDZA,CALL, RET,RETI Flash 程序存储器 程序存储器用来存放用户代码即储存程序 程序存储器为 FLASH 类型意味着可以多次重复编程, 方便用户使用同一芯片进行程序的修改 使用适当的单片机编程工具, 此系列所有单片机提供用户灵活便利的调试方法和项目开发规划及更新 结构 此系列单片机的程序存储器的容量为 1K 16~2K 16 位, 程序存储器用程序计数器来寻址, 其中也包含数据 表格和中断入口 数据表格可以设定在程序存储器的任何地址, 由表格指针来寻址 单片机型号 容量 HT66F016/HT68F016 1K 16 HT66F017/HT68F017 2K 16 0 " 0 4 AIAJ 1 JAHHK F J 8 A?J H!..0 %..0 $>EJI 程序存储器结构 Rev

20 特殊向量 程序存储器内部某些地址保留用做诸如复位和中断入口等特殊用途 地址 000H 是芯片复位后的程序起始地址 在芯片复位之后, 程序将跳到这个地址并开始执行 查表 程序存储器中的任何地址都可以定义成一个表格, 以便储存固定的数据 使用表格时, 表格指针必须先行设定, 其方式是将表格的地址放在表格指针寄存器 TBLP 和 TBHP 中 这些寄存器定义表格总的地址 在设定完表格指针后, 表格数据可以使用 TABRD [m] 或 TABRDL [m] 指令分别从程序存储器中查表读取 当这些指令执行时, 程序存储器中表格数据低字节, 将被传送到使用者所指定的数据存储器 [m], 程序存储器中表格数据的高字节, 则被传送到 TBLH 特殊寄存器, 而高字节中未使用的位将被读取为 0 下图是查表中寻址 / 数据流程 : = IJF = C A H 6 *0 24A CEIJAH 6 * 24A C IJA E H 2 H CH= A H A II, =J= $ > EJI 查表范例 4 A C IJA E H6* 0 7 IA H5A A?JA@ 4 A C IJA E H 0 EC D * OJA M*OJA 以下范例说明表格指针和表格数据如何被定义和执行 这个例子使用的表格数据用 ORG 伪指令储存在存储器中 此系列芯片中 ORG 指令的值 700H 指向的地址是 2K 程序存储器中最后一页的起始地址 表格指针的初始值设为 06H, 这可保证从数据表格读取的第一笔数据位于程序存储器地址 706H, 即最后一页起始地址后的第六个地址 值得注意的是, 假如 TABRD [m] 指令被使用, 则表格指针指向当前页 在这个例子中, 表格数据的高字节等于零, 而当 TABRD [m] 指令被执行时, 此值将会自动的被传送到 TBLH 寄存器 TBLH 寄存器为只读寄存器, 不能重新储存, 若主程序和中断服务程序都使用表格读取指令, 应该注意它的保护 使用表格读取指令, 中断服务程序可能会改变 TBLH 的值, 若随后在主程序中再次使用这个值, 则会发生错误, 因此建议避免同时使用表格读取指令 然而在某些情况下, 如果同时使用表格读取指令是不可避免的, 则在执行任何主程序的表格读取指令前, 中断应该先除能, 另外要注意的是所有与表格相关的指令, 都需要两个指令周期去完成操作 Rev

21 在线编程 表格读取程序举例 tempreg1 db? ; temporary register #1 tempreg2 db? ; temporary register #2 : : mov a,06h ; initialise low table pointer - note that this address mov tblp,a ; is referenced mov a,07h mov tbhp,a : : tabrd tempreg1 dec tblp tabrd tempreg2 : : org 700h ; initialise high table pointer ; transfers value in table referenced by table pointer ; data at program memory address 706H transferred to ; tempreg1 and TBLH ; reduce value of table pointer by one ; transfers value in table referenced by table pointer ; data at program memory address 705H transferred to ; tempreg2 and TBLH in this example the data 1AH is ; transferred to tempreg1 and data 0FH to register ; tempreg2 ; sets initial address of program memory dc 00Ah, 00Bh, 00Ch, 00Dh, 00Eh, 00Fh, 01Ah, 01Bh : : Flash 型程序存储器提供用户便利地对同一芯片进行程序的更新和修改 另外, Holtek 单片机提供 5 线接口的在线编程方式 用户可将进行过编程或未经过编程的单片机芯片连同电路板一起制成, 最后阶段进行程序的更新和程序的烧写, 在无需去除或重新插入芯片的情况下方便地保持程序为最新版 Holtek Writer 引脚名称 MCU 在线编程引脚名称引脚描述 ICPMS PA7/RES 模式选择 ICPDA PA0 串行数据输入 / 输出 ICPCK PA2 串行时钟 VDD VDD 电源 VSS VSS 地 编程器和 MCU 引脚 芯片内部程序存储器可以通过 5 线的接口在线进行编程 其中 PA0 用于数据串行下载或上传 PA2 用于串行时钟 两条用于提供电源, 另外一条用于复位 芯片在线烧写的详细使用说明超出此文档的描述范围, 将由专门的参考文献提供 在编程过程中, 编程器会将 ICPMS 引脚一直拉低以除能单片机工作, 并控制 PA0 和 PA2 脚进行数据和时钟编程, 用户必须确保这两个引脚没有连接至其它输出脚 Rev

22 9 HEJA H2E I +7 2 H CH= E C 2 E I 8,, 8,, ) % 1+2,) 2 ) ) JDA H+EH?KEJ 注 :* 可能为电阻或电容 若为电阻则其值必须大于 1kΩ, 若为电容则其必须小于 1nF 数据存储器 数据存储器是内容可更改的 8 位 RAM 内部存储器, 用来储存临时数据 结构 数据存储器分为两个区, 第一部分是特殊功能数据存储器 这些寄存器有固定的地址且与单片机的正确操作密切相关 大多特殊功能寄存器都可在程序控制下直接读取和写入, 但有些被加以保护而不对用户开放 单片机型号容量 Bank0 Bank1 HT66F016 HT68F016 HT66F017 HT68F H~BFH 未使用 H~FFH 未使用 & 0 * = * = / A A= H 2 KHF IA, =J= A HO 7 K JA / A A = H 2K HF IA,= J= A HOIF =?A & 0 *.0 B H0 6$ $. $ 0 6$ &. $ & 0..0 B H0 6$ $. % 0 6$ &. % 通用功能数据存储器 Rev

23 第二部分数据存储器是做一般用途使用, 都可在程序控制下进行读取和写入 总的数据存储器被分为两个区 大部分特殊功能数据寄存器均可在所有 Bank 被访问, 处于 40H 地址的 EEC 寄存器却只能在 Bank1 中被访问到 切换不同区域可通过设置区域指针 (BP) 实现 所有单片机的数据存储器的起始地址都是 00H 0 0 0!0 "0 #0 $0 %0 &0 '0 )0 *0 +0, !0 "0 #0 $0 %0 &0 '0 )0 *0 +0,0-0.0 * = * = 1)4 2 1)4 2 * 2 ) * 2 6 * 0 6 * ) , 8, / ) 2 ) + 2 ) ) ,6 + 6 *+ 7 K IA@ 7 K IA@ - - ) - -, 0 0 0!0 "0 #0 $0 %0 &0 '0 )0 *0 +0,0-0.0! 0! 0! 0!!0! "0! #0! $0! +0!,0! -0!.0 " 0 " 0 %.0 * = * = ), 4 ),4 0 ), + 4 ), + 4 ) K IA@ 7 K IA@ 7 K IA@ 7 K IA@ 7 K IA@ 7 K IA@ 7 K IA@ , 6,0 6 ) 6 ) K IA@ 2 * 2 * + 2 * K IA@ K IA@ 7 KIA@ HA = I 注 :HT68F016 20H~24H 未被使用 HT66F016/HT68F016 特殊功能数据存储器 Rev

24 0 0 0!0 "0 #0 $0 %0 &0 '0 )0 *0 +0, !0 "0 #0 $0 %0 &0 '0 )0 *0 +0,0-0.0 * = * = 1)4 2 1)4 2 * 2 ) * 2 6 * 0 6 * ) , 8, / ) 2 ) + 2 ) ) ,6 + 6 *+ 7 K IA@ 7 K IA@ - - ) - -, 0 0 0!0 "0 #0 $0 %0 &0 '0 )0 *0 +0,0-0.0! 0! 0! 0!!0! "0! #0! $0! +0!,0! -0!.0 " 0 " 0 %.0 * = * = ), 4 ), 4 0 ),+ 4 ),+ 4 ) , 6,0 6 ) 6 ) , 6,0 6 ) 6 ) K IA@ 2 * 2 * + 2 * 27 7 K IA@ K IA@ 7 KIA@ H A = I 注 :HT68F017 20H~24H 未被使用 HT66F017/HT68F017 特殊功能数据存储器 Rev

25 特殊功能寄存器 大部分特殊功能寄存器的细节将在相关功能章节描述, 但有几个寄存器需在此章节单独描述 间接寻址寄存器 IAR0,IAR1 间接寻址寄存器 IAR0 和 IAR1 的地址虽位于数据存储区, 但其并没有实际的物理地址 间接寻址的方法准许使用间接寻址指针做数据操作, 以取代定义实际存储器地址的直接存储器寻址方法 在间接寻址寄存器 (IAR0 和 IAR1) 上的任何动作, 将对间接寻址指针 (MP0 和 MP1) 所指定的存储器地址产生对应的读 / 写操作 它们总是成对出现,IAR0 和 MP0 可以访问 Bank 0, 而 IAR1 和 MP1 可以访问 Bank 0 和 Bank 1 因为这些间接寻址寄存器不是实际存在的, 直接读取将返回 00H 的结果, 而直接写入此寄存器则不做任何操作 间接寻址指针 MP0,MP1 该系列单片机提供两个间接寻址指针, 即 MP0 和 MP1 由于这些指针在数据存储器中能像普通的寄存器一般被操作, 因此提供了一个寻址和数据追踪的有效方法 当对间接寻址寄存器进行任何操作时, 单片机指向的实际地址是由间接寻址指针所指定的地址 MP0,IAR0 用于访问 Bank 0, 而 MP1 和 IAR1 可通过 BP 寄存器访问所有的 Bank Bank 0 仅可使用直接寻址, 其它所有 Bank 都可使用 MP1 和 IAR1 进行间接寻址 注意,MP0 和 MP1 为 8 位寄存器, 通常与 IAR0 和 IAR1 一起对相应的数据存储区进行访问 以下例子说明如何清除一个具有 4 RAM 地址的区块, 它们已事先定义成地址 adres1 到 adres4 间接寻址程序举例 data.section data adres1 db? adres2 db? adres3 db? adres4 db? block db? code.section at 0 code org 00h start: mov a,04h mov block,a mov a,offset adres1 mov mp0,a loop: clr IAR0 inc mp0 sdz block jmp loop continue: ; setup size of block ; Accumulator loaded with first RAM address ; setup memory pointer with first RAM address ; clear the data at address defined by mp0 ; increment memory pointer ; check if last memory location has been cleared 在上面的例子中有一点值得注意, 即并没有确定 RAM 地址 Rev

26 存储区指针 BP 数据存储器被分为两个部分 可以通过设置 Bank 指针 (Bank Pointer) 值来访问不同的数据存储区 BP 指针的第 0 位用于选择数据存储区 0~1 复位后, 数据存储器会初始化到存储区 0, 但是在暂停模式下的 WDT 溢出复位, 不会改变通用数据存储器的存储区号 应该注意的是特殊功能数据存储器不受存储区的影响, 也就是说, 不论是在哪一个存储区, 都能对特殊功能寄存器进行读写操作 数据存储器的直接寻址总是访问 Bank 0, 不影响存储区指针的值 要访问 Bank 0 之外的存储区, 则必须要使用间接寻址方式 BP 寄存器 Bit Name DMBP0 R/W R/W POR 0 Bit 7~1 未使用, 读为 0 Bit 0 累加器 ACC DMBP0: 数据存储区选择位 0:Bank 0 1:Bank 1 对任何单片机来说, 累加器是相当重要的, 且与 ALU 所完成的运算有密切关系, 所有 ALU 得到的运算结果都会暂时存在 ACC 累加器里 若没有累加器, ALU 必须在每次进行如加法 减法和移位的运算时, 将结果写入到数据存储器, 这样会造成程序编写和时间的负担 另外数据传送也常常牵涉到累加器的临时储存功能, 例如在使用者定义的一个寄存器和另一个寄存器之间传送数据时, 由于两寄存器之间不能直接传送数据, 因此必须通过累加器来传送数据 程序计数器低字节寄存器 PCL 为了提供额外的程序控制功能, 程序计数器低字节设置在数据存储器的特殊功能区域内, 程序员可对此寄存器进行操作, 很容易的直接跳转到其它程序地址 直接给 PCL 寄存器赋值将导致程序直接跳转到程序存储器的某一地址, 然而由于寄存器只有 8 位长度, 因此只允许在本页的程序存储器范围内进行跳转, 而当使用这种运算时, 要注意会插入一个空指令周期 表格寄存器 TBLP,TBHP,TBLH 这三个特殊功能寄存器对存储在程序存储器中的表格进行操作 TBLP 和 TBHP 为表格指针, 指向表格数据存储的地址 它们的值必须在任何表格读取指令执行前加以设定, 由于它们的值可以被如 INC 或 DEC 的指令所改变, 这就提供了一种简单的方法对表格数据进行读取 表格读取数据指令执行之后, 表格数据高字节存储在 TBLH 中 其中要注意的是, 表格数据低字节会被传送到使用者指定的地址 Rev

27 状态寄存器 STATUS 这 8 位的状态寄存器由零标志位 (Z) 进位标志位 (C) 辅助进位标志位 (AC) 溢出标志位 (OV) 暂停标志位 (PDF) 和看门狗定时器溢出标志位 (TO) 组成 这些算术 / 逻辑操作和系统运行标志位是用来记录单片机的运行状态 除了 PDF 和 TO 标志外, 状态寄存器中的位像其它大部分寄存器一样可以被改变 任何数据写入到状态寄存器将不会改变 TO 或 PDF 标志位 另外, 执行不同的指令后, 与状态寄存器有关的运算可能会得到不同的结果 TO 标志位只会受系统上电 看门狗溢出或执行 CLR WDT 或 HALT 指令影响 PDF 标志位只会受执行 HALT 或 CLR WDT 指令或系统上电影响 Z OV AC 和 C 标志位通常反映最近运算的状态 C: 当加法运算的结果产生进位, 或减法运算的结果没有产生借位时, 则 C 被置位, 否则 C 被清零, 同时 C 也会被带进位的移位指令所影响 AC: 当低半字节加法运算的结果产生进位, 或高半字节减法运算的结果没有产生借位时,AC 被置位, 否则 AC 被清零 Z: 当算术或逻辑运算结果是零时,Z 被置位, 否则 Z 被清零 OV: 当运算结果高两位的进位状态异或结果为 1 时,OV 被置位, 否则 OV 被清零 PDF: 系统上电或执行 CLR WDT 指令会清零 PDF, 而执行 HALT 指令则会置位 PDF TO: 系统上电或执行 CLR WDT 或 HALT 指令会清零 TO, 而当 WDT 溢出则会置位 TO 另外, 当进入一个中断程序或执行子程序调用时, 状态寄存器不会自动压入到堆栈保存 假如状态寄存器的内容是重要的且子程序可能改变状态寄存器的话, 则需谨慎的去做正确的储存 Rev

28 STATUS 寄存器 Bit Name TO PDF OV Z AC C R/W R R R/W R/W R/W R/W POR 0 0 Bit 7~6 未使用, 读为 0 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 TO: 看门狗溢出标志位 0: 系统上电或执行 CLR WDT 或 HALT 指令后 1: 看门狗溢出发生 PDF: 暂停标志位 0: 系统上电或执行 CLR WDT 指令后 1: 执行 HALT 指令 OV: 溢出标志位 0: 无溢出 1: 运算结果高两位的进位状态异或结果为 1 Z: 零标志位 0: 算术或逻辑运算结果不为 0 1: 算术或逻辑运算结果为 0 : 未知 AC: 辅助进位标志位 0: 无辅助进位 1: 在加法运算中低四位产生了向高四位进位, 或减法运算中低四位不发生从高四位借位 C: 进位标志位 0: 无进位 1: 如果在加法运算中结果产生了进位, 或在减法运算中结果不发生借位 C 也受循环移位指令的影响 Rev

29 EEPROM 数据寄存器 此系列所有单片机的一个特性是内建 EEPROM 数据存储器 Electrically Erasable Programmable Read Only Memory 为电可擦可编程只读存储器, 由于其非易失的存储结构, 即使在电源掉电的情况下存储器内的数据仍然保存完好 这种存储区扩展了 ROM 空间, 对设计者来说增加了许多新的应用机会 EEPROM 可以用来存储产品编号 校准值 用户特定数据 系统配置参数或其它产品信息等 EEPROM 的数据读取和写入过程也会变的更简单 EEPROM 数据寄存器结构 EEPROM 数据寄存器容量为 64 8 位 由于映射方式与程序存储器和数据存储器不同, 因此不能像其它类型的存储器一样寻址 使用 Bank 0 中的一个地址和数据寄存器以及 Bank 1 中的一个控制寄存器, 可以实现对 EEPROM 的单字节读写操作 EEPROM 寄存器 单片机型号容量地址 所有单片机 H~3FH 有三个寄存器控制内部 EEPROM 数据存储器总的操作 地址寄存器 EEA 数据寄存器 EED 及控制寄存器 EEC EEA 和 EED 位于 Bank 0 中, 它们能像其它特殊功能寄存器一样直接被访问 EEC 位于 Bank 1 中, 不能被直接访问, 仅能通过 MP1 和 IAR1 进行间接读取或写入 由于 EEC 控制寄存器位于 Bank 1 中的 40H, 在 EEC 寄存器上的任何操作被执行前,MP1 必须先设为 40H, BP 被设为 01H EEPROM 寄存器列表 寄存器名称 位 EEA D5 D4 D3 D2 D1 D0 EED D7 D6 D5 D4 D3 D2 D1 D0 EEA 寄存器 EEC WREN WR RDEN RD Bit Name D5 D4 D3 D2 D1 D0 R/W R/W R/W R/W R/W R/W R/W POR Bit 7~6 未定义, 读为 0 Bit 5~0 数据 EEPROM 地址数据 EEPROM 地址 Bit 5~Bit 0 ; 未知 Rev

30 EEC 寄存器 Bit Name WREN WR RDEN RD R/W R/W R/W R/W R/W POR Bit 7~4 未定义, 读为 0 Bit 3 Bit 2 Bit 1 Bit 0 WREN: 数据 EEPROM 写使能位 0: 除能 1: 使能此位为数据 EEPROM 写使能位, 向数据 EEPROM 写操作之前需将此位置高 将此位清零时, 则禁止向数据 EEPROM 写操作 WR:EEPROM 写控制位 0: 写周期结束 1: 写周期有效此位为数据 EEPROM 写控制位, 由应用程序将此位置高将激活写周期 写周期结束后, 硬件自动将此位清零 当 WREN 未先置高时, 此位置高无效 RDEN: 数据 EEPROM 读使能位 0: 除能 1: 使能此位为数据 EEPROM 读使能位, 向数据 EEPROM 读操作之前需将此位置高 将此位清零时, 则禁止向数据 EEPROM 读操作 RD:EEPROM 读控制位 0: 读周期结束 1: 读周期有效此位为数据 EEPROM 读控制位, 由应用程序将此位置高将激活读周期 读周期结束后, 硬件自动将此位清零 当 RDEN 未首先置高时, 此位置高无效 注 : 在同一条指令中 WREN WR RDEN 和 RD 不能同时置为 1 WR 和 RD 不能同时置为 1 EED 寄存器 Bit Name D7 D6 D5 D4 D3 D2 D1 D0 R/W R/W R/W R/W R/W R/W R/W R/W R/W POR Bit 7~0 数据 EEPROM 地址数据 EEPROM 地址 Bit 7~Bit 0 : 未知 Rev

31 从 EEPROM 中读取数据 从 EEPROM 中读取数据,EEC 寄存器中的读使能位 RDEN 先置为高以使能读功能,EEPROM 中读取数据的地址要先放入 EEA 寄存器中 若 EEC 寄存器中的 RD 位被置高, 一个读周期将开始 若 RD 位已置为高而 RDEN 位还未被设置则不能开始读操作 若读周期结束,RD 位将自动清除为 0, 数据可以从 EED 寄存器中读取 数据在其它读或写操作执行前将一直保留在 EED 寄存器中 应用程序将轮询 RD 位以确定数据可以有效地被读取 写数据到 EEPROM 写保护 写数据至 EEPROM, EEPROM 中写入数据的地址要先放入 EEA 寄存器中, 写入的数据需存入 EED 寄存器中 EEC 寄存器中的写使能位 WREN 先置为高以使能写功能, 若 EEC 寄存器中 WR 位被置为高, 一个内部写周期将开始 这两个指令必须连续进行 在进行写操作前, 要先将总的中断控制位 EMI 清零, 当写周期开始后再将其置为 1 需注意的是若 WR 位已置为高而 WREN 位还未被设置则不能开始写操作 由于控制 EEPROM 写周期是一个内部时钟, 与单片机的系统时钟异步, 所以数据写入 EEPROM 的时间将有所延迟 可通过轮询 EEC 寄存器中的 WR 位或判断 EEPROM 中断以侦测写周期是否完成 若写周期完成,WR 位将自动清除为 0, 通知用户数据已写入 EEPROM 因此, 应用程序将轮询 WR 位以确定写周期是否结束 防止误写入的写保护有以下几种 单片机上电后控制寄存器中的写使能位将被清除以杜绝任何写入操作 上电后 BP 将重置为 0, 这意味着数据存储区 Bank 0 被选中 由于 EEPROM 控制寄存器位于 Bank 1 中, 这增加了对写操作的保护措施 在正常程序操作中确保控制寄存器中的写使能位被清除将能防止不正确的写操作 EEPROM 中断 EEPROM 写周期结束后将产生 EEPROM 写中断, 需先通过设置相关中断寄存器的 DEE 位使能 EEPROM 中断 由于 EEPROM 中断包含在多功能中断中, 相应的多功能中断使能位需被设置 当 EEPROM 写周期结束,DEF 请求标志位及其相关多功能中断请求标志位将被置位 若总中断,EEPROM 和多功能中断使能且堆栈未满的情况下将跳转到相应的多功能中断向量中执行 当中断被响应, 只有多功能中断标志位将自动复位, 而 EEPROM 中断标志将通过应用程序手动复位 更多细节将在中断章节讲述 Rev

32 编程注意事项 必须注意的是数据不会无意写入 EEPROM 在没有写动作时写使能位被正常清零可以增强保护功能 BP 指针也可以正常清零以阻止进入 EEPROM 控制寄存器存在的 Bank 1 尽管不是必须的, 写一个简单的读回程序以检查新写入的数据是否正确还是应该考虑的 写数据时,WREN 位置为 1 后,WR 须立即设置为高, 以确保正确地执行写周期 写周期执行前总中断位 EMI 应先清零, 写周期开始执行后再将此位重新使能 程序举例 从 EEPROM 中读取数据 轮询法 MOV A, EEPROM_ADRES MOV EEA, A MOV A, 040H MOV MP1, A MOV A, 01H MOV BP, A SET IAR1.1 SET IAR1.0 BACK: SZ IAR1.0 JMP BACK CLR IAR1 CLR BP MOV A, EED MOV READ_DATA, A 写数据到 EEPROM 轮询法 CLR EMI MOV A, EEPROM_ADRES MOV EEA, A MOV A, EEPROM_DATA MOV EED, A MOV A, 040H MOV MP1, A MOV A, 01H MOV BP, A SET IAR1.3 SET IAR1.2 SET EMI BACK: SZ IAR1.2 JMP BACK CLR IAR1 CLR BP ; user defined address ; setup memory pointer MP1 ; MP1 points to EEC register ; setup Bank Pointer ; set RDEN bit, enable read operations ; start Read Cycle - set RD bit ; check for read cycle end ; disable EEPROM read/write ; move read data to register ; user defined address ; user defined data ; setup memory pointer MP1 ; MP1 points to EEC register ; setup Bank Pointer ; set WREN bit, enable write operations ; start Write Cycle - set WR bit - executed ; immediately after set WREN bit ; check for write cycle end ; disable EEPROM read/write Rev

33 振荡器 不同的振荡器选择可以让使用者在不同的应用需求中实现更大范围的功能 振荡器的灵活性使得在速度和功耗方面可以达到最优化 振荡器选择是通过配置选项和寄存器共同完成的 振荡器概述 振荡器除了作为系统时钟源, 还作为看门狗定时器和时基功能的时钟源 外部振荡器需要一些外围器件, 而集成的两个内部振荡器不需要任何外围器件 它们提供的高速和低速系统振荡器具有较宽的频率范围 所有振荡器选择通过配置选项选择 较高频率的振荡器提供更高的性能, 但要求有更高的功率, 反之亦然 动态切换快慢系统时钟的能力使单片机具有灵活而优化的性能 / 功耗比, 此特性对功耗敏感的应用领域尤为重要 系统时钟配置 类型名称频率引脚 外部晶振 HXT 400kHz~20MHz OSC1/OSC2 内部高速 RC HIRC 8 MHz 内部低速 RC LIRC 32kHz 振荡器类型 此系列的单片机有三个系统振荡器, 包括两个高速振荡器和一个低速振荡器 高速振荡器有外部晶体 / 陶瓷振荡器 HXT 和内部 8MHz RC 振荡器 HIRC 低速振荡器为内部 32kHz 振荡器 LIRC 使用高速或低速振荡器作为系统时钟的选择是通过设置 SMOD 寄存器中的 HLCLK 位及 CKS2~CKS0 位决定的, 系统时钟可动态选择 高速或低速振荡器的实际时钟源经由配置选项选择 低速或高速系统时钟频率由 SMOD 寄存器的 HLCLK 位及 CKS2~CKS0 位决定的 请注意, 两个振荡器必须做出选择, 即一个高速和一个低速振荡器 选择外部晶振时,OSC1 和 OSC2 脚与外部元件连接 Rev

34 0 EC D 5 FA A@ I? =JE E 0 :6 B0 $ I J= C A2HAI?= A H B0 B0 " 0 EC D 5 FA I? E = JE + BEC K = H JE FJE B0 & B0 $ B0! B0 $" 14 + B B5 ; 5 M 5 F A A@ I? =JE E > EJI B5 7* 系统时钟配置. =I J9 = A K FBH A H 1, A + JH B H0: 6 O 外部晶体 / 陶瓷振荡器 HXT 外部高频晶体 / 陶瓷振荡器可通过配置选项选择 对于晶体振荡器, 只要简单地将晶体连接至 OSC1 和 OSC2, 则会产生振荡所需的相移及反馈, 而不需其它外部器件 为保证某些低频率的晶体振荡和陶瓷谐振器的振荡频率更精准, 建议连接两个小容量电容 C1 和 C2 到 VSS, 具体数值与客户选择的晶体 / 陶瓷晶振有关 为了确保振荡器的稳定性及减少噪声和串扰的影响, 晶体振荡器及其相关的电阻和电容以及他们之间的连线都应尽可能的接近单片机 + 4 F 5+ 4 B 1 JAH = I? =J H E + EH?K EJ E JA H =?EH?K EJI JA 4 FEI H = O HA J G KHA + + =HAHA G ) JD K C D ID J M F E ID= LA = F =H=IEJE?? = F = EJ=??A B=H F. 晶体 / 陶瓷振荡器 HXT Rev

35 内部 RC 振荡器 HIRC 晶体振荡器 C1 和 C2 值 晶体频率 C1 C2 12MHz 0pF 0pF 8 MHz 0pF 0pF 4 MHz 0pF 0pF 1 MHz 100pF 100pF 注 :C1 和 C2 数值仅作参考用 晶体振荡器电容推荐值 内部 RC 振荡器是一个集成的系统振荡器, 不需其它外部器件 内部 RC 振荡器具有固定的频率 :8MHz 芯片在制造时进行调整且内部含有频率补偿电路, 使得振荡频率因 VDD 温度以及芯片制成工艺不同的影响减至最低程度 在电源电压为 5V 及温度为 -40 C~85 C 的条件下,8MHz 频率的容差为 2% 如果选择了该内部时钟, 无需额外的引脚 ;PA6 和 PA5 可以作为通用 I/O 口使用 内部 32kHz 振荡器 LIRC 辅助振荡器 内部 32kHz 系统振荡器也是一个低频振荡器, 经由配置选项选择 这种单片机有一个完全集成 RC 振荡器, 它在 5V 电压下运行的典型频率值为 32kHz 且无需外部元件 芯片在制造时进行调整且内部含有频率补偿电路, 使得振荡器因电源电压 温度及芯片制成工艺不同的影响减至最低 因此, 内部 32kHz 振荡器频率在 25 C 温度 5V 电压下的精度保持在 10% 以内 低速振荡器除了提供一个系统时钟源外, 也用来为看门狗定时器和时基中断提供时钟来源 Rev

36 工作模式和系统时钟 系统时钟 现今的应用要求单片机具有较高的性能及尽可能低的功耗, 这种矛盾的要求在便携式电池供电的应用领域尤为明显 高性能所需要的高速时钟将增加功耗, 反之亦然 此单片机提供高 低速两种时钟源, 它们之间可以动态切换, 用户可通过优化单片机操作来获得最佳性能 / 功耗比 单片机为 CPU 和外围功能操作提供了多种不同的时钟源 用户使用配置选项和寄存器编程可获取多种时钟, 进而使系统时钟获取最大的应用性能 主系统时钟可来自高频时钟源 fh 或低频时钟源 fl, 通过 SMOD 寄存器中的 HLCLK 位及 CKS2~CKS0 位进行选择 高频时钟来自 HXT 或 HIRC 振荡器, 可通过配置选项选择, 低频系统时钟源来自内部时钟 fl 其它系统时钟还有高速系统振荡器的分频 fh/2~fh/64 另外两个内部时钟用于外围电路, 次时钟源 fsub 和时基时钟 ftbc 这两个时钟源来自 LIRC 振荡器 快速唤醒发生后,fSUB 为单片机提供一个次时钟 fsub 和 fsys/4 用于看门狗定时器的的时钟源 ftbc 用于时基中断功能和 TM 的时钟源 Rev

37 0 EC D 5 FA A@ I? =JE E 0 :6 B0 $ I J= C A2HAI?= A H B0 B0 " 0 EC D 5 FA I? E = JE + BECK H=JE F JE B0 & B0 $ B0! B0 $" 14 + B B5 ; 5 M 5 F A A@ I? =JE E > EJI B5 7*. =I J9 = A K FBH A H 1, A + JH B H0: 6 O B5 7* B5 ; 5 " B5 9,6 B5 + ECK B H=JE F JE B6 *+ B5 ; 5 " B6 * 6 E A*= IA 系统时钟选项 注 : 当系统时钟源 fsys 由 fh 到 fl 转换时, 高速振荡器将停止以节省耗电 因此, 没有为外围电路提供 fh~fh/64 的频率 6 *+ Rev

38 系统工作模式 单片机有 6 种不同的工作模式, 每种有它自身的特性, 根据应用中不同的性能和功耗要求可选择不同的工作模式 单片机正常工作有两种模式 : 正常模式和低速模式 剩余的 4 种工作模式 : 休眠模式 0 休眠模式 1 空闲模式 0 和空闲模式 1 用于单片机 CPU 关闭时以节省耗电 工作模式 说明 CPU fsys fsub fs ftbc 正常模式 On fh~fh/64 On On On 低速模式 On fl On On On 空闲模式 0 Off Off On On On 空闲模式 1 Off On On On On 休眠模式 0 Off Off Off Off Off 休眠模式 1 Off Off On On Off 正常模式顾名思义, 这是主要的工作模式之一, 单片机的所有功能均可在此模式中实现且系统时钟由一个高速振荡器提供 该模式下单片机正常工作的时钟源来自 HXT 或 HIRC 振荡器 高速振荡器频率可被分为 1~64 的不等比率, 实际的比率由 SMOD 寄存器中的 CKS2~CKS0 位及 HLCLK 位选择的 单片机使用高速振荡器分频作为系统时钟可减少工作电流 低速模式此模式的系统时钟虽为较低速时钟源, 但单片机仍能正常工作 该低速时钟源来自 LIRC 振荡器 单片机在此模式中运行所耗工作电流较低 在低速模式下,fH 关闭 休眠模式 0 在 HALT 指令执行后且 SMOD 寄存器中 IDLEN 位为低时, 系统进入休眠模式 在休眠模式 0 中,CPU fsub 及 fs 停止运行, 看门狗定时器功能除能 在该模式中 LVDEN 位需置为 0, 否则将不能进入休眠模式 0 中 休眠模式 1 在 HALT 指令执行后且 SMOD 寄存器中 IDLEN 位为低时, 系统进入休眠模式 在休眠模式 1 中,CPU 停止运行 然而当其时钟源经配置选项选择为 fsub 时, 若 LVDEN 位为 1 或看门狗定时器功能使能,fSUB 及 fs 继续运行 空闲模式 0 执行 HALT 指令后且 SMOD 寄存器中 IDLEN 位为高,CTRL 寄存器中 FSYSON 位为低时, 系统进入空闲模式 0 在空闲模式 0 中,CPU 停止, 但一些外围功能如看门狗定时器和 TMs 将继续工作 在空闲模式 0 中, 系统振荡器停止 空闲模式 1 执行 HALT 指令后且 SMOD 寄存器中 IDLEN 位为高,CTRL 寄存器中 FSYSON 位为高时, 系统进入空闲模式 1 在空闲模式 1 中,CPU 停止, 但会提供一个时钟源给一些外围功能如看门狗定时器和 TMs 在空闲模式 1 中, 系统振荡器继续运行, 该系统振荡器可以为高速或低速系统振荡器 Rev

39 控制寄存器 寄存器 SMOD 用于控制单片机内部时钟 SMOD 寄存器 Bit Name CKS2 CKS1 CKS0 FSTEN LTO HTO IDLEN HLCLK R/W R/W R/W R/W R/W R R R/W R/W POR Bit 7~5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 CKS2~CKS0: 当 HLCLK 为 0 时系统时钟选择位 000:fL (flirc) 001:fL (flirc) 010:fH/64 011:fH/32 100:fH/16 101:fH/8 110:fH/4 111:fH/2 这三位用于选择系统时钟源 除了 LIRC 振荡器提供的系统时钟源外, 也可使用高频振荡器的分频作为系统时钟源 FSTEN: 快速唤醒控制位 ( 仅用于 HXT) 0: 除能 1: 使能此位为快速唤醒控制位, 用于决定单片机被唤醒后 fsub 是否开始工作 若 fsub 有效, 当此位为高时,fSUB 可暂时作为系统时钟, 用于快速唤醒 LTO: 低速振荡器就绪标志位 0: 未就绪 1: 就绪此位为低速系统振荡器就绪标志位, 用于表明低速系统振荡器在系统上电复位或经唤醒后何时稳定下来 当系统处于 SLEEP0 模式时, 该标志为低 若系统时钟来自 LIRC 振荡器, 系统唤醒后该位转换为高需 1~2 个时钟周期 HTO: 高速振荡器就绪标志位 0: 未就绪 1: 就绪此位为高速系统振荡器就绪标志位, 用于表明高速系统振荡器何时稳定下来 此标志在系统上电后经硬件清零, 高速系统振荡器稳定后变为高电平 因此, 此位在单片机上电后由应用程序读取的总为 1 该标志由休眠模式或空闲模式 0 中唤醒后会处于低电平状态, 若使用 HXT 振荡器, 该位将在 128 个时钟周期后变为高电平状态, 若使用 HIRC 振荡器则只需 15~16 个时钟周期即可 IDLEN: 空闲模式控制位 0: 除能 1: 使能此位为空闲模式控制位, 用于决定 HALT 指令执行后发生的动作 若此位为高, 当指令 HALT 执行后, 单片机进入空闲模式 若 FSYSON 位为高, 在空闲模式 1 中 CPU 停止运行, 系统时钟将继续工作以保持外围功能继续工作 ; 若 FSYSON 为低, 在空闲模式 0 中 CPU 和系统时钟都将停止运行 若此位为低, 单片机将在 HALT 指令执行后进入休眠模式 HLCLK: 系统时钟选择位 0:fH/2~ fh/64 或 fl 1:fH 此位用于选择 fh 或 fh/2~fh/64 还是 fl 作为系统时钟 该位为高时选择 fh 作为系统时钟, 为低时则选择 fh/2~fh/64 或 fl 作为系统时钟 当系统时钟由 fh 时钟向 fl 时钟转换时,fH 将自动关闭以降低功耗 Rev

40 快速唤醒 系统振荡器 HXT 单片机进入休眠模式或空闲模式 0 后, 系统时钟将停止以降低功耗 然而单片机再次唤醒, 原来的系统时钟重新起振 稳定且恢复正常工作需要一定的时间 为确保单片机能够尽快的开始工作, 系统提供了一个快速唤醒功能 需提供一个临时时钟源 fsub 先驱动系统直至原系统振荡器稳定, 这个临时时钟来自 LIRC 振荡器 快速启动功能的时钟源为 fsub, 该功能仅在休眠模式 1 和空闲模式 0 中有效 当单片机由休眠模式 0 唤醒时, 因 fsub 已停止, 故快速唤醒功能不受影响 快速唤醒功能使能 / 除能由 SMOD 寄存器中 FSTEN 位控制的 若 HXT 振荡器作为正常模式的系统时钟, 且快速唤醒功能使能, 系统唤醒将需 1~2 个 tsub 时钟周期 系统开始在 fsub 时钟源下运行直至 128 个 HXT 时钟周期后 HTO 标志转换为高, 系统将切换到 HXT 振荡器运行 若系统振荡器选用 HIRC 将系统从休眠模式或空闲模式 0 中唤醒需 15~16 个时钟周期 ; 若选用 LIRC, 则需 1~2 个周期 快速唤醒位 FSTEN 在这些情况下不受影响 FSTEN 位 唤醒时间 ( 休眠模式 0) 唤醒时间 ( 休眠模式 1) 唤醒时间 ( 空闲模式 0) 唤醒时间 ( 空闲模式 1) 个 HXT 周期 128 个 HXT 周期 1~2 个 HXT 周期 个 HXT 周期 1~2 个 fsub 周期 ( 系统在 fsub 下运行 128 个 HXT 周期后切换到 HXT 振荡器运行 ) 1~2 个 HXT 周期 HIRC 15~16 个 HIRC 周期 15~16 个 HIRC 周期 1~2 个 HIRC 周期 LIRC 1~2 个 LIRC 周期 1~2 个 LIRC 周期 1~2 个 LIRC 周期 : 未知注 : 若看门狗定时器除能, 意味着 LIRC 关闭, 当单片机由休眠模式 0 中唤醒时快速唤醒功能不可用 唤醒时间 Rev

41 工作模式切换和唤醒 单片机可在各个工作模式间自由切换, 使得用户可根据所需选择最佳的性能 / 功耗比 用此方式, 对单片机工作的性能要求不高的情况下, 可使用较低频时钟以减少工作电流, 在便携式应用上延长电池的使用寿命 简单来说, 正常模式和低速模式间的切换仅需设置 SMOD 中的 HLCLK 位及 CKS2~CKS0 位即可实现, 而正常模式 / 低速模式与休眠模式 / 空闲模式间的切换经由 HALT 指令实现 当 HALT 指令执行后, 单片机是否进入空闲模式或休眠模式由 SMOD 寄存器中的 IDLEN 位和 CTRL 寄存器中的 FSYSON 位决定的 当 HLCLK 位变为低电平时, 时钟源将由高速时钟源 fh 转换成时钟源 fh/2~fh/64 或 fl 若时钟源来自 fl, 高速时钟源将停止运行以节省耗电 此时须注意, fh/16 和 fh/64 内部时钟源也将停止运行, 由此会影响到如 TMs 等内部功能的工作 所附流程图显示了单片机在不同工作模式间切换时的变化 4 ) B5 ; 5 B0 B0 $" B HK B5 ; 5 B6 *+ B5 7* 1, - 0 ) 6E IJHK?JE EIA NA?K + 27 I J F 1, -. 5; 5 B5 ; 5 B6 *+ B5 7* ) 6E IJHK?JE EIA NA?K B5 ; 5 B + 27 I J F 1, - B6 *+ B B5 7* B 9,6 8, BB 1, - 0 ) 6E IJHK?JE EIA NA?K + 27 I J F 1, -. 5; 5 B5 ; 5 BB B6 *+ B5 7* ) 6E IJHK?JE EIA NA?K B5 ; 5 BB + 27 I J F 1, - B6 *+ BB B5 7* 9,6 H 8, 5 9 B5 ; 5 B B + 27 HK B5 ; 5 B6 *+ B5 7* B0 BB Rev

42 正常模式切换到低速模式 系统运行在正常模式时使用高速系统振荡器, 因此较为耗电 可通过设置 SMOD 寄存器中的 HLCLK 位为 0 及 CKS2~CKS0 位为 000 或 001 使系统时钟切换至运行在低速模式下 此时将使用低速系统振荡器以节省耗电 用户可在对性能要求不高的操作中使用此方法以减少耗电 低速模式的时钟源来自 LIRC 振荡器, 因此要求这些振荡器在所有模式切换动作发生前稳定下来 该动作由 SMOD 寄存器中 LTO 位控制 4 A N* A 9,6 8,=HA = B 1, - 0 ) 6E IJHK?JE EIAN A? KJA@ ,6 H 8,EI 1, - 0 ) 6E IJHK?JE EIAN A? KJA@ , -.5 ; 5 0 ) 6E IJHK?JE EIA NA?K A 1, -.5 ; 5 0 ) 6E IJHK?JE EIAN A? KJA@ 1, A Rev

43 低速模式切换到正常模式 在低速模式系统使用 LIRC 低速振荡器 切换到使用高速系统时钟振荡器的正常模式需设置 HLCLK 位为 1, 也可设置 HLCLK 位为 0 但 CKS2~CKS0 需设为 或 111 高频时钟需要一定的稳定时间, 通过检测 HTO 位的状态可进行判断 高速振荡器的稳定时间由所使用高速系统振荡器的类型决定 5 A * * = I0 + H0 + 4 A 9,6 8, = HA = B 1, - 0 ) 6E IJHK?JE EIAN A? KJA@ ,6 H 8, EI 1, - 0 ) 6E IJHK?JE EIAN A? KJA@ , -.5 ; 5 0 ) 6E IJHK?JE EIA NA?K 1, A 1, -.5 ; 5 0 ) 6E IJHK?JE EIAN A? KJA@ 1, A 进入休眠模式 0 进入休眠模式 0 的方法仅有一种 应用程序中执行 HALT 指令前需设置寄存器 SMOD 中 IDLEN 位为 0 且 WDT 和 LVD 功能除能 在上述条件下执行该指令后, 将发生的情况如下 : 系统时钟 WDT 时钟和时基时钟停止运行, 应用程序停止在 HALT 指令处 数据存储器中的内容和寄存器将保持当前值 无论 WDT 时钟源来自 fsub 时钟或系统时钟,WDT 都将被清除并停止运行 输入 / 输出口将保持当前值 状态寄存器中暂停标志 PDF 将被置起, 看门狗溢出标志 TO 将被清除 Rev

44 进入休眠模式 1 进入休眠模式 1 的方法仅有一种 应用程序中执行 HALT 指令前需设置寄存器 SMOD 中 IDLEN 位为 0 且 WDT 或 LVD 功能使能 在上述条件下执行该指令后, 将发生的情况如下 : 系统时钟和时基时钟停止运行, 应用程序停止在 HALT 指令处 WDT 或 LVD 继续运行, 其时钟源来自 fsub 数据存储器中的内容和寄存器将保持当前值 若 WDT 使能且其时钟源来自 fsub, 则 WDT 将被清零并重新开始计数 输入 / 输出口将保持当前值 状态寄存器中暂停标志 PDF 将被置起, 看门狗溢出标志 TO 将被清除 进入空闲模式 0 进入空闲模式 0 的方法仅有一种 应用程序中执行 HALT 指令前需设置寄存器 SMOD 中 IDLEN 位为 1 且 CTRL 寄存器中的 FSYSON 位为 0 在上述条件下执行该指令后, 将发生的情况如下 : 系统时钟停止运行, 应用程序停止在 HALT 指令处, 时基时钟和 fsub 时钟将继续运行 数据存储器中的内容和寄存器将保持当前值 若 WDT 使能且其时钟源来自 fsub, 则 WDT 将被清零并重新开始计数 ; 若其时钟源来自系统时钟, 则 WDT 将停止运行 输入 / 输出口将保持当前值 状态寄存器中暂停标志 PDF 将被置起, 看门狗溢出标志 TO 将被清除 进入空闲模式 1 进入空闲模式 1 的方法仅有一种 应用程序中执行 HALT 指令前需设置寄存器 SMOD 中 IDLEN 位为 1 且 CTRL 寄存器中的 FSYSON 位为 1 在上述条件下执行该指令后, 将发生的情况如下 : 系统时钟 时基时钟和 fsub 开启, 应用程序停止在 HALT 指令处 数据存储器中的内容和寄存器将保持当前值 若 WDT 使能, 无论 WDT 时钟源来自 fsub 或是系统时钟, 则 WDT 将被清零并重新开始计数 输入 / 输出口将保持当前值 状态寄存器中暂停标志 PDF 将被置起, 看门狗溢出标志 TO 将被清除 Rev

45 静态电流的注意事项 由于单片机进入休眠或空闲模式的主要原因是将 MCU 的电流降低到尽可能低, 可能到只有几个微安的级别 ( 空闲模式 1 除外 ), 所以如果要将电路的电流降到最低, 电路设计者还应有其它的考虑 应该特别注意的是单片机的输入 / 输出引脚 所有高阻抗输入脚都必须连接到固定的高或低电平, 因为引脚浮空会造成内部振荡并导致耗电增加 这也应用于有不同封装的单片机, 因为它们可能含有未引出的引脚, 这些引脚也必须设为输出或带有上拉电阻的输入 另外还需注意单片机设为输出的 I/O 引脚上的负载 应将它们设置在有最小拉电流的状态或将它们和其它的 CMOS 输入一样接到没有拉电流的外部电路上 还应注意的是, 如果使能配置选项中的 LIRC 振荡器, 会导致耗电增加 在空闲模式 1 中, 系统时钟开启 若系统时钟来自高速系统振荡器, 额外的静态电流也可能会有几百微安 唤醒 系统进入休眠或空闲模式之后, 可以通过以下几种方式唤醒 : 外部复位 PA 口下降沿 系统中断 WDT 溢出 若由外部复位唤醒, 系统会经过完全复位的过程 ; 若由 WDT 溢出唤醒, 则会发生看门狗定时器复位 这两种唤醒方式都会使系统复位, 可以通过状态寄存器中 TO 和 PDF 位来判断它的唤醒源 系统上电或执行清除看门狗的指令, 会清零 PDF; 执行 HALT 指令,PDF 将被置位 看门狗计数器溢出将会置位 TO 标志并唤醒系统, 这种复位会重置程序计数器和堆栈指针, 其它标志保持原有状态 PA 口中的每个引脚都可以通过 PAWU 寄存器使能下降沿唤醒功能 PA 端口唤醒后, 程序将在 HALT 指令后继续执行 如果系统是通过中断唤醒, 则有两种可能发生 第一种情况是 : 相关中断除能或是中断使能且堆栈已满, 则程序会在 HALT 指令之后继续执行 这种情况下, 唤醒系统的中断会等到相关中断使能或有堆栈层可以使用之后才执行 第二种情况是 : 相关中断使能且堆栈未满, 则中断可以马上执行 如果在进入休眠或空闲模式之前中断标志位已经被设置为 1, 则相关中断的唤醒功能将无效 编程注意事项 高速和低速振荡器使用相同的 SST 计数器 例如, 若系统从休眠模式 0 中唤醒, HIRC 和 LIRC 振荡器都需从关闭状态快速启动 HIRC 振荡器结束其 SST 周期后,LIRC 振荡器才开始使用 SST 计数器 若单片机从休眠模式 0 唤醒后进入正常模式, 高速系统振荡器需要一个 SST 周期 在 HTO 为 1 后, 单片机开始执行首条指令 此时,LIRC 振荡器可能不是稳定的, 上电状态可能会发生类似情况, 首条指令执行时 LIRC 振荡器还未就绪 若单片机从休眠模式 1 唤醒后进入正常模式, 系统时钟源来自 HXT 振荡器且 FSTEN 为 1, 唤醒后, 系统时钟可切换至 LIRC 振荡器 一些外围功能, 如 WDT 和 TMs 采用系统时钟 fsys 时, 在系统时钟源由 fh 切换至 fl 时, 以上这些功能的时钟源也要随之改变 当 WDT 时钟源选择为 fsub 时,fSUB 和 fs 的开启或关闭由 WDT 是否使能决定的 Rev

46 看门狗定时器 看门狗定时器的功能在于防止如电磁的干扰等外部不可控制事件, 所造成的程序不正常动作或跳转到未知的地址 看门狗定时器时钟源 WDT 定时器时钟源来自于内部时钟 fs, 而 fs 的时钟源由 LIRC 振荡器提供 电压为 5V 时内部振荡器 LIRC 的周期大约为 32kHz 需要注意的是, 这个特殊的内部时钟周期随 VDD 温度和制成的不同而变化 看门狗定时器的时钟源可分频为 2 8 ~2 18 以提供更大的溢出周期, 分频比由 WDTC 寄存器中的 WS2~WS0 位来决定 9,6 +4A CEIJAH 9 -" 9 - > EJI 4 AIAJ , B 14 + B5 & IJ= C A, ELE@ AH B5 & 9,6 2 HA I?= AH 9,6 6 E A K J & B5 & B B5 & B5 & & J 7 : 看门狗定时器控制寄存器 看门狗定时器 WDTC 寄存器用于控制 WDT 功能的使能 / 除能及选择溢出周期 寄存器结合配置选项控制看门狗定时器的工作 WDTC 寄存器 Bit Name WE4 WE3 WE2 WE1 WE0 WS2 WS1 WS0 R/W R/W R/W R/W R/W R/W R/W R/W R/W POR Bit 7~3 Bit 2~0 WE4~WE0:WDT 软件控制位如果配置选项中 WDT 设置为 始终使能 或 01010: 使能其它值 : 单片机复位如果配置选项中 WDT 设置为 由 WDT 控制寄存器设置 10101: 除能 01010: 使能其它值 : 单片机复位当 WE4~WE0 通过环境噪声或软件设置被改动从而单片机复位, 复位操作将会在 2~3 个 LIRC 时钟周期后执行同时 CTRL 寄存器 WRF 位将被设置为 1 WS2~WS0:WDT 溢出周期选择位 000:2 8 /fs 001:2 10 /fs 010:2 12 /fs 011:2 14 /fs 100:2 15 /fs 101:2 16 /fs 110:2 17 /fs 111:2 18 /fs Rev

47 CTRL 寄存器 Bit Name FSYSON LVRF LRF WRF R/W R/W R/W R/W R/W POR Bit 7 FSYSON: 空闲模式 fsys 控制位 0: 除能 1: 使能 Bit 6~3 未定义, 读为 0 Bit 2 Bit 1 Bit 0 看门狗定时器操作 : 未知 LVRF:LVR 功能复位标志位 0: 未发生 1: 发生当某一特定的低电压复位情况发生时,LVRF 位将会设为 1 该位只能通过应用程序清零 LRF:LVR 控制寄存器软件复位标志位 0: 未发生 1: 发生当 LVRC 寄存器包含任一未定义的 LVR 电压值,LRF 位将会设为 1, 这相当于软件复位功能 该位只能通过应用程序清零 WRF:WDT 控制寄存器软件复位标志位 0: 未发生 1: 发生该位通过 WDT 控制寄存器软件复位功能设为 1, 通过应用程序清零 注意该位只能通过应用程序清零 当 WDT 溢出时, 它产生一个芯片复位的动作 这也就意味着正常工作期间, 用户需在应用程序中看门狗溢出前有策略地清看门狗定时器以防止其产生复位, 可使用清除看门狗指令实现 无论什么原因, 程序失常跳转到一个未知的地址或进入一个死循环, 这些清除指令都不能被正确执行, 此种情况下, 看门狗将溢出以使单片机复位 通过配置选项选择看门狗定时器的一些选项, 如始终使能及清除指令类型 除了配置选项外,WDTC 寄存器中的 WE4~WE0 位也可用来使能 / 除能看门狗定时器以及控制看门狗定时器复位操作 如果配置选项中 WDT 始终使能, 此时需设置 WE4~WE0 为 或 才能开启 WDT 功能 若因环境噪声或软件设置修改为其它值, 那么单片机将在 2~3 个 LIRC 时钟周期后复位 如果配置选项中设置 WDT 的使能 / 除能由 WDT 控制寄存器控制, 那么 WE4~WE0 可以设置 WDT 的操作模式 若这五位被设为 10101,WDT 除能 若为 WDT 使能 若因环境噪声或软件设置修改为除这两个值以外的其它值, 那么单片机将在 2~3 个 LIRC 时钟周期后复位 上电复位后, 这些位的初始值为 Rev

48 看门狗定时器使能 / 除能控制 WDT 配置选项 WE4~WE0 位 WDT 功能 WDT 始终使能 WDT 控制寄存器控制 01010B 或 10101B 其它值 10101B 01010B 其它值 使能 单片机复位 除能 使能 单片机复位 程序正常运行时,WDT 溢出将导致芯片复位, 并置位状态标志位 TO 若系统处于休眠或空闲模式, 当 WDT 发生溢出时, 状态寄存器中的 TO, 程序计数器 PC 和堆栈指针 SP 将被置位 有三种方法可以用来清除 WDT 的内容 第一种是 WDT 复位 将 WE4~WE0 写入除 01010B 和 10101B 外的任意值 第二种是通过 WDT 软件清除指令, 而第三种是通过 HALT 指令 只有一种软件指令用来清除看门狗寄存器, 即 CLR WDT 指令 当设置分频比为 2 18 时, 溢出周期最大 例如, 时钟源为 32 khz LIRC 振荡器, 分频比为 2 18 时最大溢出周期约 8s, 分频比为 2 8 时最小溢出周期约 7.8ms 复位和初始化 复位功能 复位功能是任何单片机中基本的部分, 使得单片机可以设定一些与外部参数无关的先置条件 最重要的复位条件是在单片机首次上电以后, 经过短暂的延迟, 内部硬件电路使得单片机处于预期的稳定状态并开始执行第一条程序指令 上电复位以后, 在程序执行之前, 部分重要的内部寄存器将会被设定为预先设定的状态 程序计数器就是其中之一, 它会被清除为零, 使得单片机从最低的程序存储器地址开始执行程序 另一种复位为看门狗溢出单片机复位 不同方式的复位操作会对寄存器产生不同的影响 还有一种复位为低电压复位即 LVR 复位, 在电源供应电压低于 LVR 设定值时, 系统会产生 LVR 复位 包括内部和外部事件触发复位, 单片机共有四种复位方式 : 上电复位 这是最基本且不可避免的复位, 发生在单片机上电后 除了保证程序存储器从开始地址执行, 上电复位也使得其它寄存器被设定在预设条件 所有的输入 / 输出端口控制寄存器在上电复位时会保持高电平, 以确保上电后所有引脚被设定为输入状态 8,, J4 5, 6 J JAH = 4A IA J 注 :trstd 为上电延迟时间, 典型值为 50ms 上电复位时序图 Rev

49 RES 引脚复位 由于复位引脚与 PA.7 共用, 复位功能必须使用配置选项选择 虽然单片机有一个内部 RC 复位功能, 如果电源上升缓慢或上电时电源不稳定, 内部 RC 振荡可能导致芯片复位不良, 所以推荐使用和 RES 引脚连接的外部 RC 电路, 由 RC 电路所造成的时间延迟使得 RES 引脚在电源供应稳定前的一段延长周期内保持在低电平 在这段时间内, 单片机的正常操作是被禁止的 RES 引脚达到一定电压值后, 再经过延迟时间 trstd 单片机可以开始进行正常操作 下图中 SST 是系统延迟周期 System Start-up Timer 的缩写 在许多应用场合, 可以在 VDD 和 RES 之间接入一个电阻, 在 VSS 与 RES 之间接入一个电容作为外部复位电路 与 RES 脚上所有相连接的线段必须尽量短以减少噪声干扰 当系统在较强干扰的场合工作时, 建议使用增强型的复位电路, 如下图所示. " " & 8,, 9 9 8,,.! 9 2 )% 注 : * 表示建议加上此元件以加强静电保护 ** 表示建议在电源有较强干扰场合加上此元件 外部 RES 电路 欲知有关外部复位电路的更多信息可参考 Holtek 网站上的应用范例 HA0075S RES 引脚通过外部硬件强迫拉至低电平时, 此种复位形式即会发生 这种复位方式和其它的复位方式一样, 程序计数器会被清除为零且程序从头开始执行 JAH = 4A IA J " 8,, ' 8,, J4 56, J5 5 6 注 :trstd 为上电延迟时间, 典型值为 100ms RES 复位时序图 Rev

50 低电压复位 LVR 单片机具有低电压复位电路, 用来监测它的电源电压,LVR 功能始终使能, 并有特定的 LVR 电压值 VLVR 例如在更换电池的情况下, 单片机供应的电压可能会落在 0.9V~VLVR 的范围内, 这时 LVR 将会自动复位单片机 同时 CTRL 寄存器中 LVRF 值设置为 1 同时包含以下的要求 : 有效的 LVR 信号, 即在 0.9V~VLVR 的低电压状态的时间, 必须超过交流电气特性中 tlvr 参数的值 如果低电压存在不超过 tlvr 参数的值, 则 LVR 将会忽略它且不会执行复位功能 VLVR 参数值可通过 LVRC 寄存器进行设置 若因环境噪声或软件设置寄存器中的值发生更改,LVR 将在 2~3 个 LIRC 时钟周期后复位单片机 同时 CTRL 寄存器 LVRF 位将被置 1 上电复位后, 寄存器的初始值为 B 注意若单片机进入休眠或空闲模式,LVR 功能将自动被除能 LVRC 寄存器 84 1 JAH = 4A IA J J4 56, J5 5 6 注 :trstd 为上电延迟时间, 典型值为 16.7ms 低电压复位时序图 Bit Name LVS7 LVS6 LVS5 LVS4 LVS3 LVS2 LVS1 LVS0 R/W R/W R/W R/W R/W R/W R/W R/W R/W POR Bit 7~0 LVS7~LVS0:LVR 电压选择控制位 :2.1V :2.55V :3.15V :3.8V 其它值 : 单片机复位当相应的低电压出现后, 将会产生 MCU 复位 复位操作将会在 2~3 个 LIRC 时钟周期后执行 MCU 复位后寄存器中的值与复位前保持不变 除上述的四个值后, 其它值都会产生 MCU 复位 复位操作将会在 2~3 个 LIRC 时钟周期后执行 MCU 复位后寄存器中的值将会被重置 正常运行时看门狗溢出复位 除了看门狗溢出标志位 TO 将被设为 1 之外, 正常运行时看门狗溢出复位和 LVR 复位相同 9,6 6 E A K J 1 JAH = 4A IA J J4 56, J5 5 6 注 :trstd 为上电延迟时间, 典型值为 16.7ms 正常运行时看门狗溢出时序图 Rev

51 休眠或空闲时看门狗溢出复位 休眠或空闲时看门狗溢出复位和其它种类的复位有些不同 除了程序计数器与堆栈指针将被清 0 及 TO 位被设为 1 外, 绝大部分的条件保持不变 图中 tsst 的详细说明请参考交流电气特性 复位初始状态 9,6 6 E A K J 1 JAH = 4A IA J J5 5 6 注 : 如果系统时钟源为 HIRC 时,tSST 为 15~16 个时钟周期 如果系统时钟源为 HXT, 则 tsst 为 128 个时钟周期 如果系统时钟源为 LIRC, 则 tsst 为 1~2 个时钟周期 休眠或空闲时看门狗溢出复位时序图 不同的复位形式以不同的途径影响复位标志位 这些标志位, 即 PDF 和 TO 位存放在状态寄存器中, 由休眠或空闲模式功能或看门狗计数器等几种控制器操作控制 复位标志位如下所示 : TO PDF 复位条件 0 0 上电复位 u u 正常模式或低速模式时的 RES 或 LVR 复位 1 u 正常模式或低速模式时的 WDT 溢出复位 1 1 空闲或休眠模式时的 WDT 溢出复位 在单片机上电复位之后, 各功能单元初始化的情形, 列于下表 u 代表不改变 项目程序计数器中断看门狗定时器定时 / 计数器输入 / 输出口堆栈指针 复位后情况清除为零所有中断被除能 WDT 清除并重新计数所有定时 / 计数器停止 I/O 口设为输入模式,AN0~AN3 作为 A/D 输入脚 堆栈指针指向堆栈顶端 不同的复位形式对单片机内部寄存器的影响是不同的 为保证复位后程序能正常执行, 了解寄存器在特定条件复位后的设置是非常重要的 下表即为不同方式复位后内部寄存器的状况 若芯片有多种封装类型, 表格反应较大的封装的情况 Rev

52 寄存器 HT66F016 HT66F017 HT68F016 HT68F017 上电复位 RES 或 LVR 复位 WDT 溢出 ( 正常模式 ) WDT 溢出 ( 空闲模式 ) MP0 xxxx xxxx xxxx xxxx xxxx xxxx uuuu uuuu MP1 xxxx xxxx xxxx xxxx xxxx xxxx uuuu uuuu BP u ACC xxxx xxxx uuuu uuuu uuuu uuuu uuuu uuuu PCL TBLP xxxx xxxx uuuu uuuu uuuu uuuu uuuu uuuu TBLH xxxx xxxx uuuu uuuu uuuu uuuu uuuu uuuu TBHP xxx uuu uuu uuu xx uu uu uu STATUS xxxx - -uu uuuu - - 1u uuuu uuuu SMOD uuuu uuuu LVDC uu - uuu INTEG uuuu INTC0 INTC uuu uuuu uu -uuu uuuu uuuu u-uu u-uu INTC uu - -uu MFI uu - -uu MFI uu - -uu MFI uu - -uu PA uuuu uuuu PAC uuuu uuuu PAPU uuuu uuuu PAWU uuuu uuuu PB uu uuuu PBC uu uuuu PBPU uu uuuu PRM uuuu uuuu TMPC uu u- WDTC uuuu uuuu TBC uuuu -uuu EEA uu uuuu EED uuuu uuuu EEC uuuu ADRL (ADRFS=0) xxxx xxxx xxxx uuuu Rev

53 寄存器 HT66F016 HT66F017 HT68F016 HT68F017 上电复位 RES 或 LVR 复位 WDT 溢出 ( 正常模式 ) WDT 溢出 ( 空闲模式 ) ADRL (ADRFS=1) ADRH (ADRFS=0) ADRH (ADRFS=1) xxxx xxxx xxxx xxxx xxxx xxxx uuuu uuuu xxxx xxxx xxxx xxxx xxxx xxxx uuuu uuuu xxxx xxxx xxxx uuuu ADCR uuuu - -uu ADCR uu -u - uuu ACERL uuuu CPC uuuu u - -u CTRL x00 u uuu u uuu u uuu LVRC uuuu uuuu TM0C uuuu u- - - TM0C uuuu uuuu TM0DL uuuu uuuu TM0DH uuuu uuuu TM0AL uuuu uuuu TM0AH uuuu uuuu TM0RP uuuu uuuu TM1C uuuu u- - - TM1C uuuu uuuu TM1DL uuuu uuuu TM1DH uuuu uuuu TM1AL uuuu uuuu TM1AH uuuu uuuu TM1RP uuuu uuuu 注 : u 表示不改变 x 表示未知 - 表示未定义 Rev

54 输入 / 输出端口 寄存器名称 Holtek 单片机的输入 / 输出口控制具有很大的灵活性 大部分引脚可在用户程序控制下被设定为输入或输出 所有引脚的上拉电阻设置以及指定引脚的唤醒设置也都由软件控制, 这些特性也使得此类单片机在广泛应用上都能符合开发的需求 此系列单片机提供 PA 和 PB 双向输入 / 输出口 这些寄存器在数据存储器有特定的地址 所有 I/O 口用于输入输出操作 作为输入操作, 输入引脚无锁存功能, 也就是说输入数据必须在执行 MOV A,[m],T2 的上升沿准备好,m 为端口地址 对于输出操作, 所有数据都是被锁存的, 且保持不变直到输出锁存被重写 位 PA D7 D6 D5 D4 D3 D2 D1 D0 PAC D7 D6 D5 D4 D3 D2 D1 D0 PAPU D7 D6 D5 D4 D3 D2 D1 D0 PAWU D7 D6 D5 D4 D3 D2 D1 D0 PB D5 D4 D3 D2 D1 D0 PBC D5 D4 D3 D2 D1 D0 PBPU D5 D4 D3 D2 D1 D0 PRM PRML3 PRML2 PRML1 PRML0 PRMS3 PRMS2 PRMS1 PRMS0 上拉电阻 输入 / 输出寄存器列表 许多产品应用在端口处于输入状态时需要外加一个上拉电阻来实现上拉的功能 为了免去外部上拉电阻, 当引脚规划为输入时, 可由内部连接到一个上拉电阻 这些上拉电阻可通过寄存器 PAPU 和 PBPU 来设置, 它用一个 PMOS 晶体管来实现上拉电阻功能 PAPU 寄存器 Bit Name D7 D6 D5 D4 D3 D2 D1 D0 R/W R/W R/W R/W R/W R/W R/W R/W R/W POR Bit 7~0 PA 口 bit 7~bit 0 上拉电阻控制位 0: 除能 1: 使能 Rev

55 PBPU 寄存器 Bit Name D5 D4 D3 D2 D1 D0 R/W R/W R/W R/W R/W R/W R/W POR Bit 7~6 未定义, 读为 0 Bit 5~0 PA 口唤醒 PB 口 bit 5~bit 0 上拉电阻控制位 0: 除能 1: 使能 当使用暂停指令 HALT 迫使单片机进入休眠或空闲模式, 单片机的系统时钟将会停止以降低功耗, 此功能对于电池及低功耗应用很重要 唤醒单片机有很多种方法, 其中之一就是使 PA 口的其中一个引脚从高电平转为低电平 这个功能特别适合于通过外部开关来唤醒的应用 PA 口的每个引脚可以通过设置 PAWU 寄存器来单独选择是否具有唤醒功能 PAWU 寄存器 Bit Name D7 D6 D5 D4 D3 D2 D1 D0 R/W R/W R/W R/W R/W R/W R/W R/W R/W POR Bit 7~0 PAWU:PA 口 bit 7~bit 0 唤醒功能控制位 0: 除能 1: 使能 输入 / 输出端口控制寄存器 每一个输入 / 输出口都具有各自的控制寄存器, 即 PAC 和 PBC, 用来控制输入 / 输出状态 从而每个 I/O 引脚都可以通过软件控制, 动态的设置为 CMOS 输出或输入 所有的 I/O 端口的引脚都各自对应于 I/O 端口控制的某一位 若 I/O 引脚要实现输入功能, 则对应的控制寄存器的位需要设置为 1 这时程序指令可以直接读取输入脚的逻辑状态 若控制寄存器相应的位被设定为 0, 则此引脚被设置为 CMOS 输出 当引脚设置为输出状态时, 程序指令读取的是输出端口寄存器的内容 注, 如果对输出口做读取动作时, 程序读取到的是内部输出数据锁存器中的状态, 而不是输出引脚上实际的逻辑状态 PAC 寄存器 Bit Name D7 D6 D5 D4 D3 D2 D1 D0 R/W R/W R/W R/W R/W R/W R/W R/W R/W POR Bit 7~0 PA 口 bit 7~bit 0 输入 / 输出控制位 0: 输出 1: 输入 Rev

56 PBC 寄存器 Bit Name D5 D4 D3 D2 D1 D0 R/W R/W R/W R/W R/W R/W R/W POR Bit 7~6 未定义, 读为 0 Bit 5~0 引脚重置功能 PB 口 bit 5~bit 0 输入 / 输出控制位 0: 输出 1: 输入 引脚的多功能可以增加单片机应用的灵活性 有限的引脚个数将会限制设计者, 而引脚的多功能将会解决很多此类问题 每个功能可单独选择所在的引脚, 以及一个确定的优先级, 使得引脚上多种功能可以同时使用 此外, 一些引脚功能可以通过寄存器 PRM 进行设定 大致上讲, 模拟信号功能比数字信号功能具有更高的优先级 但是如果在同一外部引脚有两个模拟功能同时使能, 那么输入的模拟信号将在内部同时连接到所有的有效的功能模块 引脚重置寄存器 封装中有限的引脚个数会对某些单片机功能造成影响 然而, 引脚功能重置和引脚功能选择, 使得小封装单片机具有更多不同的功能 PRM 寄存器 Bit Name PRML3 PRML2 PRML1 PRML0 PRMS3 PRMS2 PRMS1 PRMS0 R/W R/W R/W R/W R/W R/W R/W R/W R/W POR Bit 7~4 PRML3~PRML0: 引脚重置功能锁存控制位 ( 默认值 :0101) 1010:PRM 寄存器写操作使能其它值 :PRM 寄存器写操作除能 Bit 3 Bit 2 Bit 1~0 PRMS3:INT1 引脚功能重置选择位 0:INT1 on PA5 1:INT1 on PA4 PRMS2:INT0/TCK1 引脚重置选择位 0:INT0 on PA3, TCK1 on PA3 1:INT0 on PA2, TCK1 on PA2 PRMS1~PRMS0: 引脚重置选择位 For HT66F017, HT68F017 00:TP0 on PA3, TP1/TCK0 on PA4 01:TP0 on PB0, TP1/TCK0 on PB1 10:TP0 on PA5, TP1/TCK0 on PA6 11:TP0 on PA2, TP1/TCK0 on PA7 For HT66F016, HT68F016 00:TP1 on PA4 01:TP1 on PB1 10:TP1 on PA6 11:TP1 on PA7 Rev

57 输入 / 输出引脚结构 下图为输入 / 输出引脚的内部结构图 输入 / 输出引脚的准确逻辑结构图可能与此图不同, 这里只是为了方便对 I/O 引脚功能的理解提供的一个参考 图中的引脚共用结构并非针对所有单片机, =J=*K I + H * J EJ, 3 2 K 0ECD 4 A C IJA E H 5 A A?J 8,, 9 A= 2 K K F 9 HEJA + H 4 J A C IJA E H + DEF4A IA J JH 4A C IJA E H, =J=*EJ, 3 1 FE 9 HEJA, =J=4A C IJA E H 4 J= 4 AC EI JA H : 5 OIJ A 9 = A K F 通用输入 / 输出端口 9 = A K F 5 A A?J 2 ) O, =J=*K I + H * J EJ, 3 2 K 0ECD 4 A C IJA E H 5 A A?J 8,, 9 A= 2 K K F 9 HEJA + H 4 J A C IJA E H DEF4A IA J 4 A + JH 4 AC EIJA H 9 HEJA, =J=4A C IJA E H, =J=*EJ, ), 1 F K2E I J 4 A =J=4A C IJA E H 6 ),+ LA HJAH 7 : ) = C 1 F KJ 5 A A?J H ) +5 )+ 5 A/D 输入 / 输出端口 Rev

58 编程注意事项 在编程中, 最先要考虑的是端口的初始化 复位之后, 所有的输入 / 输出数据及端口控制寄存器都将被设为逻辑高 所有输入 / 输出引脚默认为输入状态, 而其电平则取决于其它相连接电路以及是否选择了上拉电阻 如果端口控制寄存器 PAC 和 PBC, 某些引脚位被设定输出状态, 这些输出引脚会有初始高电平输出, 除非数据寄存器端口 PA 和 PB 在程序中被预先设定 设置哪些引脚是输入及哪些引脚是输出, 可通过设置正确的值到适当的端口控制寄存器, 或使用指令 SET [m].i 及 CLR [m].i 来设定端口控制寄存器中个别的位 注意, 当使用这些位控制指令时, 系统即将产生一个读 - 修改 - 写的操作 单片机需要先读入整个端口上的数据, 修改个别的位, 然后重新把这些数据写入到输出端口 A/D 转换控制寄存器的上电初始状态默认 PA0~PA3 为模拟信号输入引脚, 但 A/D 转换功能并没自动开启 因些需注意若要将 PA0~PA3 用作数字信号输入引脚, 或其它功能, 需在程序中修改 A/D 转换控制寄存器值以关闭 A/D 功能 另外需注意 A/D 通道使能, 内部上拉电阻将自动断开 PA 口的每个引脚都带唤醒功能 单片机处于休眠或空闲模式时, 有很多方法可以唤醒单片机, 其中之一就是通过 PA 任一引脚电平从高到低转换的方式, 可以设置 PA 口一个或多个引脚具有唤醒功能 定时器模块 TM 控制和测量时间在任何单片机中都是一个很重要的部分 每个单片机提供几个定时器模块 ( 简称 TM), 来实现和时间有关的功能 定时器模块是包括多种操作的定时单元, 提供的操作有 : 定时 / 事件计数器, 捕捉输入, 比较匹配输出, 单脉冲输出以及 PWM 输出等功能 每个定时器模块有两个独立中断 每个 TM 外加的输入输出引脚, 扩大了定时器的灵活性, 便于用户使用 这里只介绍各种 TM 的共性, 更多详细资料请参考简易型和标准型定时器章节 简介 该系列单片机包含 2 个 TM 分别命名为 TM0 和 TM1 每个 TM 可被划分为一个特定的类型, 即简易型 TM(CTM) 或标准型 TM(STM) 虽然性质相似, 但不同 TM 特性复杂度不同 本章介绍简易型和标准型 TM 的共性, 更多详细资料分别见后面各章 两种类型 TM 的特性和区别见下表 功能 CTM STM 定时 / 计数器 捕捉输入 比较匹配输出 PWM 通道数 1 1 单脉冲输出 1 PWM 对齐方式边沿对齐边沿对齐 PWM 调节周期 & 占空比占空比或周期占空比或周期 TM 功能概要 HT66F017 和 HT68F017 单片机包括一个简易型和一个标准型 TM 单元, 分别命名为 TM0 和 TM1, HT66F016 和 HT68F016 单片机仅包含一个标准型 TM, 如下表所示 Rev

Microsoft Word - sim6xF0xC-0225.doc

Microsoft Word - sim6xF0xC-0225.doc 8-pin 内置 EEPROM 增强型八位 FLASH 单片机 技术相关信息 应用范例 -HA0075S MCU 复位电路和振荡电路的应用范例 特性 CPU 特性 工作电压 : f SYS =8MHz:2.2V~5.5V f SYS =12MHz:2.7V~5.5V f SYS =20MHz:4.5V~5.5V V DD =5V, 系统时钟为 20MHz 时, 指令周期为 0.2µs 提供省电模式和唤醒功能,

More information

目录 特性...7 CPU 特性... 7 周边特性... 8 概述...9 选型表...9 方框图...10 引脚图 引脚说明...15 极限参数...21 直流电气特性...22 交流电气特性...24 ADC 特性...25 比较器电气特性...26 上电复位特性...26 系统结

目录 特性...7 CPU 特性... 7 周边特性... 8 概述...9 选型表...9 方框图...10 引脚图 引脚说明...15 极限参数...21 直流电气特性...22 交流电气特性...24 ADC 特性...25 比较器电气特性...26 上电复位特性...26 系统结 HT66F20 HT66F30/HT66FU30 HT66F40/HT66FU40 HT66F50/HT66FU50 HT66F60/HT66FU60 版本 : V.2.40 日期 : 目录 特性...7 CPU 特性... 7 周边特性... 8 概述...9 选型表...9 方框图...10 引脚图... 11 引脚说明...15 极限参数...21 直流电气特性...22 交流电气特性...24

More information

目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 选型表...7 方框图...8 引脚图...8 引脚说明...9 极限参数...10 直流电气特性 交流电气特性...13 ADC 电气特性...14 LVD&LVR 电气特性...15 上电复位特性...16

目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 选型表...7 方框图...8 引脚图...8 引脚说明...9 极限参数...10 直流电气特性 交流电气特性...13 ADC 电气特性...14 LVD&LVR 电气特性...15 上电复位特性...16 HT66F0172/HT66F0174 版本 : V1.40 日期 : 目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 选型表...7 方框图...8 引脚图...8 引脚说明...9 极限参数...10 直流电气特性... 11 交流电气特性...13 ADC 电气特性...14 LVD&LVR 电气特性...15 上电复位特性...16 系统结构...16 时序和流水线结构...

More information

目录 特性...1 CPU 特性... 1 周边特性... 1 概述...2 选型表...2 方框图...3 引脚图...4 引脚说明...5 极限参数...7 直流电气特性...7 交流电气特性...10 ADC 电气特性 LVD&LVR 电气特性...12 上电复位特性...13 系

目录 特性...1 CPU 特性... 1 周边特性... 1 概述...2 选型表...2 方框图...3 引脚图...4 引脚说明...5 极限参数...7 直流电气特性...7 交流电气特性...10 ADC 电气特性 LVD&LVR 电气特性...12 上电复位特性...13 系 HT66F0172/HT66F0174 版本 : V1.20 日期 : 目录 特性...1 CPU 特性... 1 周边特性... 1 概述...2 选型表...2 方框图...3 引脚图...4 引脚说明...5 极限参数...7 直流电气特性...7 交流电气特性...10 ADC 电气特性... 11 LVD&LVR 电气特性...12 上电复位特性...13 系统结构...13 时序和流水线结构...

More information

目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 选型表...8 方框图...8 引脚图...9 引脚说明...10 极限参数...12 直流电气特性...12 交流电气特性...14 ADC 特性...15 上电复位特性...16 系统结构...17 时序和流水线结构.

目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 选型表...8 方框图...8 引脚图...9 引脚说明...10 极限参数...12 直流电气特性...12 交流电气特性...14 ADC 特性...15 上电复位特性...16 系统结构...17 时序和流水线结构. BS84B08A-3/BS84C12A-3 版本 : V1.00 日期 : 2013-03-19 目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 选型表...8 方框图...8 引脚图...9 引脚说明...10 极限参数...12 直流电气特性...12 交流电气特性...14 ADC 特性...15 上电复位特性...16 系统结构...17 时序和流水线结构...

More information

目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 选型表...8 方框图...8 引脚图...9 引脚说明...10 极限参数...13 直流电气特性...13 交流电气特性...15 ADC 特性...16 上电复位特性...17 系统结构...18 时序和流水线结构.

目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 选型表...8 方框图...8 引脚图...9 引脚说明...10 极限参数...13 直流电气特性...13 交流电气特性...15 ADC 特性...16 上电复位特性...17 系统结构...18 时序和流水线结构. BS84B08A-3/BS84C12A-3 版本 : V1.20 日期 : 2016-08-25 目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 选型表...8 方框图...8 引脚图...9 引脚说明...10 极限参数...13 直流电气特性...13 交流电气特性...15 ADC 特性...16 上电复位特性...17 系统结构...18 时序和流水线结构...

More information

技术相关信息

技术相关信息 8-Bit 带 A/D 触摸按键式 Flash 单片机 BS45F16 版本 :V06 日期 :2013/10/15 www.greenmcu.com 目录 目录第 1 章简述... 1 1.1 特性... 1 1.1.1 CPU 特性... 1 1.1.2 周边特性... 1 1.2 概述... 2 1. 3 方框图... 3 1.3.1 引脚图... 3 1.3.2 引脚说明... 5 1.3.3

More information

目录 特性...7 CPU 特性... 7 周边特性... 8 概述...9 选型表...9 方框图...10 引脚图...10 引脚说明 极限参数...13 直流电气特性...14 交流电气特性...17 放大器电气特性...19 比较器电气特性...19 LDO 2.4V...20

目录 特性...7 CPU 特性... 7 周边特性... 8 概述...9 选型表...9 方框图...10 引脚图...10 引脚说明 极限参数...13 直流电气特性...14 交流电气特性...17 放大器电气特性...19 比较器电气特性...19 LDO 2.4V...20 HT45F23A/HT45F24A 版本 : V1.10 日期 : 目录 特性...7 CPU 特性... 7 周边特性... 8 概述...9 选型表...9 方框图...10 引脚图...10 引脚说明... 11 极限参数...13 直流电气特性...14 交流电气特性...17 放大器电气特性...19 比较器电气特性...19 LDO 2.4V...20 LDO 3.3V...20 上电复位特性...20

More information

目录 特性...6 CPU 特性... 6 周边特性... 6 应急灯应用特性... 6 概述...7 方框图...7 引脚图...8 引脚说明...9 极限参数...11 直流电气特性...11 交流电气特性...13 LVD & LVR 电气特性...14 ADC 电气特性...15 LDO 稳

目录 特性...6 CPU 特性... 6 周边特性... 6 应急灯应用特性... 6 概述...7 方框图...7 引脚图...8 引脚说明...9 极限参数...11 直流电气特性...11 交流电气特性...13 LVD & LVR 电气特性...14 ADC 电气特性...15 LDO 稳 HT45FH4J 版本 : V1.10 日期 : 2016-12-07 目录 特性...6 CPU 特性... 6 周边特性... 6 应急灯应用特性... 6 概述...7 方框图...7 引脚图...8 引脚说明...9 极限参数...11 直流电气特性...11 交流电气特性...13 LVD & LVR 电气特性...14 ADC 电气特性...15 LDO 稳压器电气特性...15 过电流保护电气特性...16

More information

Microsoft Word - simBS83Bxx-3v120.doc

Microsoft Word - simBS83Bxx-3v120.doc 技术相关信息 应用范例 HA0075S MCU 复位电路及振荡电路应用 特性 CPU 特性 工作电压 : f SYS = 8MHz:V LVR ~5.5V f SYS = 2MHz:2.7V~5.5V f SYS = 6MHz:4.5V~5.5V 集成 8/2/6 触摸按键功能 -- 不需要增加外接元件 暂停和唤醒功能, 以降低功耗 集成高 / 低速振荡器低速 -- 32kHz 高速 -- 8MHz,2MHz,6MHz

More information

目录 特性...1 CPU 特性... 1 周边特性... 1 概述...2 选型表...2 方框图...3 引脚图...4 引脚说明...5 极限参数...8 直流电气特性...8 交流电气特性...10 上电复位特性...10 系统结构 时序和流水线结构 程序计数器...

目录 特性...1 CPU 特性... 1 周边特性... 1 概述...2 选型表...2 方框图...3 引脚图...4 引脚说明...5 极限参数...8 直流电气特性...8 交流电气特性...10 上电复位特性...10 系统结构 时序和流水线结构 程序计数器... 8-Bit 触控按键式 Flash 单片机 BS83B08A-3/BS83B08A-4 BS83B12A-3/BS83B12A-4 BS83B16A-3/BS83B16A-4 版本 : V1.00 日期 : 目录 特性...1 CPU 特性... 1 周边特性... 1 概述...2 选型表...2 方框图...3 引脚图...4 引脚说明...5 极限参数...8 直流电气特性...8 交流电气特性...10

More information

目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 方框图...7 引脚图...8 引脚说明...9 极限参数...10 直流电气特性...10 交流电气特性...12 感应振荡器电气特性...13 A/D 转换器电气特性...17 上电复位特性...18 系统结构...1

目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 方框图...7 引脚图...8 引脚说明...9 极限参数...10 直流电气特性...10 交流电气特性...12 感应振荡器电气特性...13 A/D 转换器电气特性...17 上电复位特性...18 系统结构...1 BS84B06A-3 版本 : V1.30 日期 : 2016-06-30 目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 方框图...7 引脚图...8 引脚说明...9 极限参数...10 直流电气特性...10 交流电气特性...12 感应振荡器电气特性...13 A/D 转换器电气特性...17 上电复位特性...18 系统结构...19 时序和流水线结构...

More information

带 EEPROM A/D 型 8-Bit Flash 单片机 HT66F0175/HT66F0185 版本 : V1.30 日期 :

带 EEPROM A/D 型 8-Bit Flash 单片机 HT66F0175/HT66F0185 版本 : V1.30 日期 : 版本 : V1.30 日期 : 2015-12-10 目录 特性...7 CPU 特性... 7 周边特性... 8 概述...9 选型表...9 方框图...10 引脚图...10 引脚说明...12 极限参数...20 直流电气特性...20 交流电气特性...22 HIRC 电气特性...23 ADC 电气特性...24 LVD & LVR 电气特性...25 比较器电气特性...25 软件控制

More information

目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 方框图...7 引脚图...8 引脚描述...9 极限参数...10 直流电气特性...11 交流电气特性...13 LVD & LVR 电气特性...15 过电流 / 电压保护 (OCVP) 电气特性...16 上电复位

目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 方框图...7 引脚图...8 引脚描述...9 极限参数...10 直流电气特性...11 交流电气特性...13 LVD & LVR 电气特性...15 过电流 / 电压保护 (OCVP) 电气特性...16 上电复位 HT45F3820 版本 : V1.10 日期 : 2017-01-04 目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 方框图...7 引脚图...8 引脚描述...9 极限参数...10 直流电气特性...11 交流电气特性...13 LVD & LVR 电气特性...15 过电流 / 电压保护 (OCVP) 电气特性...16 上电复位电气特性...17 系统结构...18

More information

Cost_Effective C Handbook

Cost_Effective C Handbook HT8 MCU 看门狗 (WDT) 应用范例 防止死机 文件编码 :AN0426S 简介 Holtek Flash MCU 提供了一个功能单元 WDT (Watch Dog Timer) 看门狗定时器, 其最主要的功能是避免程序因不可预期的因素 ( 如 : 电路噪声 ) 造成系统长时间的瘫痪 ( 如 : 跳至死循环或未知地址造成无法预测的结果 ) 功能说明 Holtek 新推出的 MCU 已无 2

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

目录 特性...5 CPU 特性... 5 周边特性... 5 概述...6 选型表...6 方框图...7 引脚图...7 引脚说明...8 极限参数...11 直流电气特性...12 交流电气特性...13 LVR&LVD 电气特性...14 上电复位特性...14 系统结构...15 时序和流

目录 特性...5 CPU 特性... 5 周边特性... 5 概述...6 选型表...6 方框图...7 引脚图...7 引脚说明...8 极限参数...11 直流电气特性...12 交流电气特性...13 LVR&LVD 电气特性...14 上电复位特性...14 系统结构...15 时序和流 HT68F002/HT68F003 版本 : V1.00 日期 : 2014-08-15 目录 特性...5 CPU 特性... 5 周边特性... 5 概述...6 选型表...6 方框图...7 引脚图...7 引脚说明...8 极限参数...11 直流电气特性...12 交流电气特性...13 LVR&LVD 电气特性...14 上电复位特性...14 系统结构...15 时序和流水线结构...

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 选型表...7 方框图...8 引脚图...8 引脚说明...10 极限参数...16 直流电气特性...16 交流电气特性...18 ADC 电气特性...19 LVR 电气特性...19 LCD 电气特性...20 上

目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 选型表...7 方框图...8 引脚图...8 引脚说明...10 极限参数...16 直流电气特性...16 交流电气特性...18 ADC 电气特性...19 LVR 电气特性...19 LCD 电气特性...20 上 HT66F002/HT66F003/HT66F004 版本 : V1.20 日期 : 目录 特性...6 CPU 特性... 6 周边特性... 6 概述...7 选型表...7 方框图...8 引脚图...8 引脚说明...10 极限参数...16 直流电气特性...16 交流电气特性...18 ADC 电气特性...19 LVR 电气特性...19 LCD 电气特性...20 上电复位特性...20

More information

目录 特性...5 CPU 特性... 5 周边特性... 5 概述...6 选型表...6 方框图...7 引脚图...7 引脚说明...8 极限参数...10 直流电气特性...10 交流电气特性 上电复位特性...12 系统结构...12 时序和流水线结构 程序计数器.

目录 特性...5 CPU 特性... 5 周边特性... 5 概述...6 选型表...6 方框图...7 引脚图...7 引脚说明...8 极限参数...10 直流电气特性...10 交流电气特性 上电复位特性...12 系统结构...12 时序和流水线结构 程序计数器. BS83A02A-4/BS83A04A-3/BS83A04A-4 版本 : V1.70 日期 : 目录 特性...5 CPU 特性... 5 周边特性... 5 概述...6 选型表...6 方框图...7 引脚图...7 引脚说明...8 极限参数...10 直流电气特性...10 交流电气特性... 11 上电复位特性...12 系统结构...12 时序和流水线结构... 12 程序计数器...

More information

技术相关信息

技术相关信息 8-Bit 触摸按键式 Flash 单片机 BS45FB 版本 :V0 日期 :203/06/4 www.greenmcu.com 目录 第 章概述及其特性.... 特性..... CPU 特性.....2 周边特性....2 概述....3 方框图... 2.4 引脚图... 2.5 引脚说明... 3.6 极限参数... 4.7 直流电气特性... 5.8 交流电气特性... 5.9 上电复位特性...

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

目录 特性...5 CPU 特性... 5 周边特性... 5 概述...5 选型表...6 方框图...6 引脚图...6 引脚说明...7 极限参数...8 直流电气特性...9 交流电气特性...10 上电复位特性...10 系统结构 时序和流水线结构 程序计数器...

目录 特性...5 CPU 特性... 5 周边特性... 5 概述...5 选型表...6 方框图...6 引脚图...6 引脚说明...7 极限参数...8 直流电气特性...9 交流电气特性...10 上电复位特性...10 系统结构 时序和流水线结构 程序计数器... HT48R002/HT48R003 版本 : V1.11 日期 : 目录 特性...5 CPU 特性... 5 周边特性... 5 概述...5 选型表...6 方框图...6 引脚图...6 引脚说明...7 极限参数...8 直流电气特性...9 交流电气特性...10 上电复位特性...10 系统结构... 11 时序和流水线结构... 11 程序计数器... 12 堆栈... 12 算术逻辑单元

More information

技术相关信息

技术相关信息 8-Bit 触摸按键式 Flash 单片机 BS45F2 版本 :V02 日期 :202/05/4 www.greenmcu.com 目录 目 录 第 章概述及其特性.... 特性..... CPU 特性.....2 周边特性....2 概述....3 方框图... 2.4 引脚图... 2.5 引脚说明... 3.6 极限参数... 3.7 直流电气特性... 4.8 交流电气特性... 4.9

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

?€?舐?喃縑?

?€?舐?喃縑? 增强 A/D 型八位 OTP 单片机 HT46R064B/065B/066B 版本 :V.00 日期 : 目录 目录 技术相关信息... 特性... 概述... 2 选型表... 2 方框图... 2 引脚图... 3 引脚说明... 4 HT46R064B... 4 HT46R065B... 5 HT46R066B... 6 极限参数... 7 直流电气特性... 8 交流电气特性... 9 ADC

More information

目录 目录 技术相关信息... 特性... CPU 特性... 周边特性... 概述... 选型表... 2 方框图... 2 引脚图... 3 引脚说明... 4 HT48R063B... 4 HT48R064B... 5 HT48R065B, HT48R066B... 6 极限参数... 7 直

目录 目录 技术相关信息... 特性... CPU 特性... 周边特性... 概述... 选型表... 2 方框图... 2 引脚图... 3 引脚说明... 4 HT48R063B... 4 HT48R064B... 5 HT48R065B, HT48R066B... 6 极限参数... 7 直 增强 I/O 型八位 OTP 单片机 HT48R063B/064B/065B/066B 版本 : V.0 日期 : 目录 目录 技术相关信息... 特性... CPU 特性... 周边特性... 概述... 选型表... 2 方框图... 2 引脚图... 3 引脚说明... 4 HT48R063B... 4 HT48R064B... 5 HT48R065B, HT48R066B... 6 极限参数...

More information

HT46R62, HT46R63, HT46R64, HT46R65 A/D with LCD 型单片机使用手册 本使用手册版权为盛群半导体股份有限公司所有, 非经盛群半导体股份有限公司书面授权同意, 不得通过任何形式复制 储存或传输 目录 目录 第一部份单片机概论... 1 第一章硬件结构... 3 简介...3 特性...4 技术特性...4 内核特性...4 周边特性...5 选择表...6

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

Microsoft Word - Ö¸Á.doc

Microsoft Word - Ö¸Á.doc 指令集说明 注 由于资源大小问题 其中以下几款 MCU 只有 62 条指令 其余均为 63 条指令 HT48CA0/HT48RA0A 无 RETI 指令 HT48R05A-1 无 TABRDL 指令 指令寻址方式有下面 5 种 立即寻址 此一寻址法是将立即的常数值紧跟在运算码 (opcode) 后 例如 MOV A, 33H ADD A, 33H 直接寻址 直接寻址的情況只允许在存储器之间作数据传送

More information

Microsoft Word - ha0013s.doc

Microsoft Word - ha0013s.doc 文件编码 :HA0013s 简介 : 本文介绍利用 8 位微控制器控制 DV16100NRB 液晶显示驱动器的方法 该 LCM 由内置的 Hitachi HD44780 进行驱动及控制 本文应用中, 着重考虑如何使微控制器产生正确的信号以符合 LCM 所需的时序 若要获得详细的时序及指令信息, 请查阅 LCM 厂商的资料 LCM 能以 4 位或 8 位模式工作 在 4 位模式下, 传送一个字符或一条指令需两个传输周期完成

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

HT48R10A-1/HT48R30A-1 HT48R50A-1/HT48R70A-1 I/O 型单片机使用手册 本使用手册版权为盛群半导体股份有限公司所有, 非经盛群半导体股份有限公司书面授权同意, 不得通过任何形式复制 储存或传输 目录 目录 第一部份单片机概论... 1 第一章硬件结构... 3 简介...3 特性...4 技术特性...4 内核特性...4 周边特性...5 选择表...5

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

Microsoft Word - IO_hb_3rd_sim.doc

Microsoft Word - IO_hb_3rd_sim.doc HT48R10A-1, HT48R30A-1, HT48R50A-1, HT48R70A-1, HT48RU80 I/O 型单片机使用手册 二 六年六月第三版 Copyright 2006 by HOLTEK SEMICONDUCTOR INC. 本使用手册版权为盛群半导体股份有限公司所有, 非经盛群半导体股份有限公司书面授权同意, 不得通过任何形式复制 储存或传输 ii Cost-Effective

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

Microsoft Word - sim46x53_54av110.doc

Microsoft Word - sim46x53_54av110.doc A/D 型八位 OTP 单片机 盛群知识产权政策 专利权盛群半导体公司在全球各地区已核准和申请中之专利权至少有 6 件以上, 享有绝对之合法权益 与盛群公司 MCU 或其它产品有关的专利权并未被同意授权使用, 任何经由不当手段侵害盛群公司专利权之公司 组织或个人, 盛群将采取一切可能的法律行动, 遏止侵权者不当的侵权行为, 并追讨盛群公司因侵权行为所受之损失 或侵权者所得之不法利益 商标权盛群之名称和标识

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

目录 特性...6 CPU 特性... 6 周边特性... 7 概述...7 选型表...8 方框图...8 引脚图...9 引脚说明...13 极限参数...28 直流电气特性...29 交流电气特性...31 LVD & LVR 电气特性...32 LCD 直流电气特性...33 上电复位电气特

目录 特性...6 CPU 特性... 6 周边特性... 7 概述...7 选型表...8 方框图...8 引脚图...9 引脚说明...13 极限参数...28 直流电气特性...29 交流电气特性...31 LVD & LVR 电气特性...32 LCD 直流电气特性...33 上电复位电气特 HT69F340/HT69F350/HT69F360 版本 : V1.30 日期 : 目录 特性...6 CPU 特性... 6 周边特性... 7 概述...7 选型表...8 方框图...8 引脚图...9 引脚说明...13 极限参数...28 直流电气特性...29 交流电气特性...31 LVD & LVR 电气特性...32 LCD 直流电气特性...33 上电复位电气特性...34 系统结构...34

More information

HT46R47,HT46R22, HT46R23,HT46R24 A/D 型单片机使用手册 本使用手册版权为盛群半导体股份有限公司所有, 非经盛群半导体股份有限公司书面授权同意, 不得通过任何形式复制 储存或传输 目录 目录 第一部份单片机概论... 1 第一章硬件结构... 3 简介...3 特性...4 技术特性...4 内核特性...4 周边特性...5 选择表...5 系统框线图...6

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

方框图 N 沟道开漏输出 ( 常开, 低有效 ) 8,, /, 输出表格和曲线图 >VDET(+) VDET( ) VOUT Hi-Z VSS, =ID E A 8 1 BH 01 5 E A 8 1 BH 0 1 8, -6 8, -6 # ;5 引

方框图 N 沟道开漏输出 ( 常开, 低有效 ) 8,, /, 输出表格和曲线图 >VDET(+) VDET( ) VOUT Hi-Z VSS, =ID E A 8 1 BH 01 5 E A 8 1 BH 0 1 8, -6 8, -6 # ;5 引 TinyPower TM 电压检测器 特性 低功耗 低温度系数 高输入电压范围 ( 高达 30V) 静态电流 :1µA 输出电压精度 :±2% 内建迟滞电路 封装类型 :TO92, SOT89 和 SOT23-5 概述 HT70xxA-3 系列是一组采用 CMOS 技术实现的三端低功耗电压检测器 该系列中的电压检测器能检测固定的电压, 范围从 2.2V 到 8.2V 电压检测器系列由高精度低功耗的标准电压源

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 1K 一次性编程, 10 位 ADC 型 4 位单片机 特性 基于 SH6610C, 10 位 ADC 型 4 位单片机 OTP ROM: 1K X 16 位 RAM: 124 X 4 位 - 28 系统控制寄存器 - 96 数据存储器 工作电压 : - fosc = 16MHz, VDD = 3.3V - 5.5V 6 个双向 I/O 端口 4 层堆栈 ( 包括中断 ) 一个 8 位自动重载定时

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

Microsoft Word - sim48x050608_1v151.doc

Microsoft Word - sim48x050608_1v151.doc 经济型输入 / 输出八位单片机 盛群知识产权政策 专利权 盛群半导体公司在全球各地区已核准和申请中之专利权至少有 16 件以上, 享有绝对之合法权益 与盛群公司 MCU 或其它产品有关的专利权并未被同意授权使用, 任何经由不当手段侵害盛群公司专利权之公司 组织或个人, 盛群将采取一切可能的法律行动, 遏止侵权者不当的侵权行为, 并追讨盛群公司因侵权行为所受之损失 或侵权者所得之不法利益 商标权 盛群之名称和标识

More information

Applications

Applications 概述 FM1905 是 24 点 内存映象和多功能的 LCD 驱动器,FM1905 的软件配置特性使它适用于多种 LCD 应用场合, 包括 LCD 模块和显示子系统 用于连接主控制器和 FM1905 的管脚只有 3 条,FM1905 还有一个节电命令用于降 低系统功耗 特点 工作电压 3.0 ~5.0V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入 可选 1/2

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

Microsoft Word - S153B.doc

Microsoft Word - S153B.doc SAM8 P153B SAM8P153B 数据手册 14 引脚 8 位 I/O 型 OTP 单片机 盛明公司保留对以下所有产品在可靠性 功能和设计方面的改进作进一步说明的权利 盛明不承担由本手册所涉及的产品或电路的运用和使用所引起的任何责任, 盛明的产品不是专门设计来应用于外科植入 生命维持和任何盛明产品产生的故障会对个体造成伤害甚至死亡的领域 如果将盛明的产品用于上述领域, 即使这些是由盛明在产品设计和制造上的疏忽引起的,

More information

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器.

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器. SQ013L 数据手册 8 引脚 8 位 I/O 型 OTP 单片机 - 1 - 目录 1. 产品简介... 4 1.1 功能特性... 4 1.2 引脚图... 5 1.3 引脚描述... 5 2. 中央处理器 (CPU)... 6 2.1 程序存储器 (OTP ROM)... 6 2.2 堆栈... 6 2.3 数据存储器 (RAM)... 7 2.3.1 INDF 寄存器... 9 2.3.2

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

规格书

规格书 MC31P5120 用户手册 ( 原产品名 MC31P11) SinoMCU 8 位单片机 2018/01/12 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 用户手册的更改, 恕不另行通知 目录 1 产品简介... 4 1.1 产品特性... 4 1.2 系统框图...

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO 4K / I/O 4 SH6610C 4 OTPROM 4K X 16 SH69P25 MASK ROM 4K X 16 SH69K25 RAM 192 X 4-32 - 160 2.4V - 5.5V 5.0V 22 I/O 4 8 / PORTA-PORTF / - Timer0 - PORTB & PORTC / - 32.768kHz 4MHz - 400kHz 4MHz - RC 400kHz

More information

33023A.book(31005A_cn.fm)

33023A.book(31005A_cn.fm) 第 5 章 CPU 和 ALU 目录 本章包括下面一些主要内容 : 5. 简介...5-2 5.2 指令的一般格式... 5-4 5.3 中央处理单元 (CPU)... 5-4 5.4 指令时钟... 5-4 5.5 算术逻辑单元 (ALU)... 5-5 5.6 状态寄存器... 5-6 5.7 OPTION_REG 寄存器... 5-8 5.8 电源控制寄存器... 5-9 5.9 设计技巧...

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

HT48R05A-1/HT48R06A-1 Cost-Effective I/O 型单片机使用手册 本使用手册版权为盛群半导体股份有限公司所有, 非经盛群半导体股份有限公司书面授权同意, 不得通过任何形式复制 储存或传输 目录 目录 第一部份单片机概论... 1 第一章硬件结构... 3 简介...3 特性...4 技术特性...4 内核特性...4 周边特性...5 选择表...5 系统框线图...6

More information

MDT90P01 4-Bit RISC MCU 使用及注意事项 1. MDT90P01 4-bit RISC MCU PIN MAP: SOT-26 PB0 1 6 PB3 VSS 2 5 VDD PB1 3 4 PB2/RTCC 2. IC 烧入注意事项 : A. 需制作转接座 : Writer

MDT90P01 4-Bit RISC MCU 使用及注意事项 1. MDT90P01 4-bit RISC MCU PIN MAP: SOT-26 PB0 1 6 PB3 VSS 2 5 VDD PB1 3 4 PB2/RTCC 2. IC 烧入注意事项 : A. 需制作转接座 : Writer MT90P0 4-Bit RISC MCU 使用及注意事项 MT90P0 4-bit RISC MCU PIN MAP: SOT-26 PB0 6 PB3 VSS 2 5 V PB 3 4 PB2/RTCC 2 IC 烧入注意事项 : A 需制作转接座 : Writer PIN MAP: V NC PB3 PB2 VSS PB PB0 NC ( 底 ) B 需更改 Writer Firmware WM0

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

Microsoft Word - TM1621.doc

Microsoft Word - TM1621.doc 概述 TM1621 是 128 点 内存映象和多功能的 LCD 驱动器,TM1621 的软件配置特性使它适用于多种 LCD 应用场合, 包括 :LCD 模块和显示子系统 用于连接主控制器和 TM1621 的管脚只有 4 或 5 条,TM1621 还有一个节电命令用于降低系统功耗 特性 工作电压 2.4~ 5.2V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入

More information

97 04 25 0970002232 97 12 31 1-7 1 2 1 0 1 0 1 0 1 0 1 0 1 0 1 2 24 A1. 0 1 ( 6 ) 2 ( 6 ) 3 4 A1a.? 5 6 0 1 A1b.? 0 1 2 A2. 0 1 A2b. A2c. A2a. A2d. 1 A3. 1 A4 2 0 A4 A3a.?? 0 A4 1 A3b. 0 A4 1 A3c.?? 1

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

SM2965

SM2965 产品清单 SM2965C40, 主频 40MHz, 内带 64KB 闪存的 MCU 总体描述 SM2965 系列产品是一种内嵌 64KB 闪存和 1K 字节 RAM 的 8 位单片微控制器它是 80C52 微控制器家族的派生产品具有在系统可编程 (ISP) 功能其 PDIP 封装具有 32 个 I/O 口而 PLCC/QFP 封装则具有多达 36 个 I/O 口 64K 字节的闪存既可以当作程序空间又可以当作数据空间或者数据和程序混合空间其硬件特征和强大的指令系统使它成为一种性能价格比高的控制器片上闪存的编程可以使用商用编程器进行并行编程也可以根据其

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

* r p . 4 6 12 3 5 7 8 9bk bm btbsbrbqbp bo bn bl [ ] [ ] [ ] [ ] [SET] 1 2 3 4 5 6 7. cmcl ck 8 9 0 bk bl bm bn bo 1 2 1 2+ - bp bq 8 2 4 6 br r bs p bt ck cl cm 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

DESCRIPTION

DESCRIPTION 集成 12-BIT ADC 的 8 位 MCU 特点 电源和复位 上电复位电路 (POR) 输入 / 输出 4 级可选的欠压复位电路 (BOR) 18 个 I/O 端口, 其中 P2.2 只能开漏输出 8 级可选低压检测 (LVD) 外围设备 LDO 给内核供电, 支持低功耗掉电模式 7 路键盘输入, 可唤醒 PD 模式 看门狗定时器 (WDT) 4 路外部中断输入, 可唤醒 PD 模式 CPU 12

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

目录 1 产品概要 产品特性 芯片模式 订购信息 引脚排列 端口说明 电气特性 极限参数 直流电气特性 交流电气特性 CPU 及

目录 1 产品概要 产品特性 芯片模式 订购信息 引脚排列 端口说明 电气特性 极限参数 直流电气特性 交流电气特性 CPU 及 SinoMCU 8 位单片机 MC30P6070 用户手册 V1.4 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 本文档的更改, 恕不另行通知 目录 1 产品概要... 4 1.1 产品特性... 4 1.2 芯片模式... 5 1.3 订购信息... 5 1.4

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

Microsoft Word - EM78P156K Product Spec v1[1].3 _ _.doc

Microsoft Word - EM78P156K Product Spec v1[1].3 _ _.doc EM78P156K 8 位 OTP 微控制器 产品规格书 版本 1.3 义隆电子股份有限公司 2012.07 本文内容是由英文规格书翻译而目的是为了您的阅读更加方便 它无法跟随原稿的更新, 文中可能存在翻译上的错误, 请您参考英文规格书以获得更准确的信息 商标告知 : IBM 为一个注册商标,PS/2 是 IBM 的商标之ㄧ. Windows 是微软公司的商标 ELAN 和 ELAN 标志是义隆电子股份有限公司的商标

More information

MPW 项目简介

MPW 项目简介 概述 TM1621 是内存映象和多功能的 LCD 驱动器,TM1621 的软件配置特性使它适用于多种 LCD 应用场合, 包括 LCD 模块和显示子系统 用于连接主控制器和 TM1621 的管脚只有 4 或 5 条,TM1621 还有一个节电命令用于降低系统功耗 功能特性 工作电压 2.4~ 5.2V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入 可选 1/2

More information

#$%&% () % ()*% +,-. /01 % + (/) " " " 2- %** -340 $%&% 5!$%&% () % ()*% +,-. /01 % + (/) " " " 2- %** -340 /64 7%,(8(, *--9( ()6 /-,%/,65 :$%&

#$%&% () % ()*% +,-. /01 % + (/)    2- %** -340 $%&% 5!$%&% () % ()*% +,-. /01 % + (/)    2- %** -340 /64 7%,(8(, *--9( ()6 /-,%/,65 :$%& ! " "!! " "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! " #$$% & ()*+,-.(*/!0%1 23)4-(4 5).67*(*8. #$$%!9 #$$% #!$1#$!1 #9 19 :9 %; :< #$$% = 0!$ ; = : : : = 1 % #!9 #$%&% () % ()*% +,-. /01 % + (/) " " " 2- %**

More information

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc EEPROM 应用说明 1 适用产品 :SM59D03G2 SM59D04G2 series 2 应用范围 : 针对需使用 EEPORM 功能替换 STC 89C5X 的应用及汇编语言之范例程序 ( 使用内部扩充内存为暂存区 ) 3 功能说明 : 3.1 本公司上述产品 EEPORM 功能皆可替换 STC89C5X, 仅需对特殊功能缓存器定义 ( 详见表 1) 及 ISP 命令定义 ( 详见表 2)

More information