B 6 A A N A S A +V B B B +V 2

Similar documents
a b c d e f g C2 C1 2

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

IC芯片自主创新设计实验

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

untitled

逢 甲 大 學

⊙内容:常用逻辑电路设计

第一章.FIT)

大 綱 最 有 利 標 目 的 及 類 型 最 有 利 標 之 辦 理 方 式 準 用 最 有 利 標 取 最 有 利 標 精 神 最 有 利 標 之 類 型 及 其 相 關 規 定 適 用 最 有 利 標 準 用 最 有 利 標 及 取 最 有 利 標 精 神 作 業 程 序 及 實 務 分 析

第一章

目 錄 1. 蒸 豬 肉 丸 2. 蜜 汁 腰 果 3. 鳳 梨 蝦 球 4. 金 菇 扒 芥 菜 5. 松 鼠 黃 魚 6. 椒 鹽 魷 魚 7. 時 蔬 燴 蝦 丸 8. 粉 蒸 小 排 骨 9. 酥 炸 黃 魚 條 10. 彩 椒 炒 魷 魚

1 什么是Setup 和Holdup时间?

石 家 庄 石 家 庄 恒 翼 电 子 有 限 公 司 河 北 省 石 家 庄 市 民 族 路 69 号 颐 高 数 码 广 场 三 楼 3109 室 石 家 庄 石 家 庄 三 合 办 公 设 备 有 限 公 司 河 北 省 石 家 庄 中 山 东 路 126 号 (

1 1

Microsoft Word 養生與保健_中山大學_講義


萬里社區老人健康照護手冊

Microsoft Word - 強制汽車責任保險承保及理賠作業處理辦法 doc

Microsoft Word - 06.Understanding of Pregnancy and Birth.doc

範本檔

附 件 一 : 办 理 集 中 式 银 期 转 账 业 务 网 点 名 单 序 号 地 区 网 点 名 称 地 址 联 系 人 电 话 23 工 商 银 行 安 徽 省 铜 陵 百 大 支 行 铜 陵 市 长 江 东 路 50 号 鲁 桂 珍 工 商 银 行 安 徽

2. 二 年 級 吳 毓 秀 老 師 : 感 謝 午 餐 公 司 平 時 均 能 準 時 送 餐, 但 希 望 能 不 要 使 用 加 工 品, 且 學 生 反 映 希 望 能 多 加 蛋 品 的 食 物 3. 三 年 級 柯 阿 青 老 師 : 雞 肉 有 血 水 味, 請 午 餐 公 司 能 調

人 物 春 秋 杨 永 泰 将 其 削 藩 策 略 概 括 为 : 以 经 济 方 法 瓦 解 冯 玉 祥 的 第 二 集 团 军, 以 政 治 方 法 解 决 阎 锡 山 的 第 3 集 团 军, 以 军 事 方 法 解 决 李 宗 仁 的 第 四 集 团 军, 以 外 交 方 法 对 付 张 学

糖尿病食譜


,,,,,,, (,, ),,,,,,,,,,,,,,, ,,, 4 11,, ( ),,,, ( ), :, ( ),,, 1995, 66 ; ( ),, 1996, , 3-4,,

2002 4,,, 1941,,,,,,,,,,,,,,,,,, : ;:, 1991,

(➂)11. 炎 炎 夏 日, 即 使 下 起 滂 沱 大 雨, 都 消 除 不 了 令 人 心 煩 的 暑 氣 這 句 話 主 要 想 表 達 什 麼? ➀ 夏 日 裡 經 常 下 著 滂 沱 大 雨, 令 人 心 煩 ➁ 下 著 滂 沱 大 雨 的 日 子, 可 以 消 除 暑 氣 ➂ 夏 日

高雄市立五福國民中學九十四學年度第一學期第三次段考二年級本國語文學習領域試題卷

台北老爺校外實地參訪結案報告




目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016

2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 一 一 般 公 共 服 务 支 出 二

1 CPU

Microsoft Word - 中耳的主要疾病~中耳炎.doc

ebook122-3

AM101-1(中文)11.cdr


邏輯分析儀的概念與原理-展示版

Microsoft PowerPoint - EDA-理论3 [兼容模式]

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

ebook122-11


1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

KT-SOPCx开发套件简明教程

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

第二章.FIT)

2012年报.xls


學 過 程 技 能 中 是 重 要 的 一 環, 雖 然 控 制 變 因 的 課 程 要 進 入 小 學 階 段 才 會 接 觸, 但 我 們 嘗 試 讓 孩 子 在 科 學 遊 戲 中, 察 覺 到 不 同 的 條 件 會 影 響 比 賽 結 果, 進 而 讓 孩 子 把 這 些 條 件 一 一

!!

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

\\Server\技術部結案計劃\已量產\

Quality of Life 1 TEIJIN CSR Report 2012

2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路

Microsoft Word - prays.doc

穨良導絡值與驗診壓力之關聯研究

同步网络中的高性能线卡时钟解决方案

Microsoft PowerPoint 校務會議主席報告

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

投影片 1

Microsoft Word - 财务d08z.doc

壹、

Comp-AC ACS to 2.2 kw

Microsoft PowerPoint - 上呼吸道感染ppt.ppt


25.( 0 在 進 行 水 溫 與 溶 解 量 的 實 驗 時, 每 一 匙 糖 都 要 刮 平 的 主 要 目 的 為 何? 1 避 免 一 次 溶 解 太 多 糖 2 可 以 增 加 溶 解 糖 的 次 數 3 控 制 加 入 的 每 一 匙 糖 都 一 樣 多 4 可 以 減 少 溶 解 量

untitled

100


2

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

Ps22Pdf

行业

先生別耍我

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63>

资证资字2006 [37]号


投影片 1


小班上学期课程

行业

上海相关企业名单

untitled

CC213

+!"# $%# "& (") $* (+) "!!* ",, "% + (,) () "!!$ () (),*** () ( ) "!!- +**".$% %*** "*** % (%) "*

STM32 for sensorless vector control

2/80 2

PowerPoint 簡報



2016 年 全 省 一 般 公 共 预 算 收 入 表 ( 代 编 ) 项 目 2016 年 预 算 数 单 位 : 亿 元 2015 年 快 报 数 预 算 数 增 减 % 一 税 收 收 入 增 值 税 ( 含 改 征 增 值 税 )

當母親禱告時

<4D F736F F D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

Agenda PXI PXI

行业

Transcription:

B 6 A A N A S A +V B B B +V 2

V A A B B 3

C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND

V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND

A B A B 1 1 0 0 0 2 0 1 0 0 3 0 0 1 0 0 0 0 1 6

7 /A EPM706S/LCC 6 8 3 I/GCLK1 STEP3 0 /B STEP0 STEP1 FT7 9 6 7 8 12 3 1 2 11 10 C3 B2 GND GND B3 B V+ B1 C1 C2 C V+ A CLOCK STEP2 MOTOR STEPPER 1 2 3 6 VCC B

11 entity stepmotor_fulla is 12 port( 13 clk : in std_logic; 1 step: out std_logic_vector(3 downto 0) 1 ); 16 end stepmotor_fulla; 17 18 architecture arch of stepmotor_fulla is 19 signal cnt : std_logic_vector(1 downto 0); 20 begin 21 22 ---------- process --------- 23 process(clk) 2 begin 2 if clk'event and clk='1' then 26 cnt <= cnt+1; 27 end if; 28 end process; 29 step <= "1000" when cnt=0 else 30 "0100" when cnt=1 else 31 "0010" when cnt=2 else 32 "0001"; 33 end arch; 8

13 entity clk_div_step is 1 generic(divisor:integer:=00000); 1 port( 16 clk_in : in std_logic; 17 clk_out: out std_logic 18 ); 19 end clk_div_step; 20 21 architecture arch of clk_div_step is 22 signal cnt2 : std_logic; 23 begin 2 ---------- clk divider ---------- 2 process(clk_in) 26 variable cnt1,divisor2 : integer range 0 to divisor; 27 begin 28 divisor2:=divisor/2; 29 ----- up counter ----- 30 if (clk_in'event and clk_in='1') then 31 if cnt1 = divisor then 32 cnt1 := 1; 33 else 3 cnt1 := cnt1 + 1; 3 end if; 36 end if; 37 ----- clk_out register clk generator ----- 38 if (clk_in'event and clk_in='1') then 39 if (( cnt1 = divisor2) or (cnt1 = divisor))then 0 cnt2 <= not cnt2 ; 1 end if; 2 end if; 3 clk_out <= cnt2 ; end process; end arch; 6 9

PIN_3 clock INPUT VCC clk_div _step Param eter Value divisor 3686 stepmotor_f ulla inst clk_in clk_out clk inst1 step[3..0] OUTPUT step[3..0] PIN_8 PIN_6 PIN_ PIN_ 10

A B A B 1 1 1 0 0 2 0 1 1 0 3 0 0 1 1 1 0 0 1 A 11

clock 28 Step(3) Step(2) Step(1) 2 Step(0) 22 12

13 /A EPM706S/LCC 6 8 3 I/GCLK1 STEP3 0 /B STEP0 STEP1 FT7 9 6 7 8 12 3 1 2 11 10 C3 B2 GND GND B3 B V+ B1 C1 C2 C V+ A CLOCK STEP2 MOTOR STEPPER 1 2 3 6 VCC B

12 entity stepmotor_fullb is 13 port( 1 clk : in std_logic; 1 step: out std_logic_vector(3 downto 0) 16 ); 17 end stepmotor_fullb; 18 19 architecture arch of stepmotor_fullb is 20 signal cnt : std_logic_vector (1 downto 0); 21 begin 22 23 ---------- process --------- 2 process(clk) 2 begin 26 if clk'event and clk='1' then 27 cnt <= cnt+1; 28 end if; 29 end process; 30 31 step <= "1100" when cnt=0 else 32 "0110" when cnt=1 else 33 "0011" when cnt=2 else 3 "1001"; 3 end arch; 1

13 entity clk_div_step is 1 generic(divisor:integer:= 00000); 1 port( 16 clk_in : in std_logic; 17 clk_out: out std_logic 18 ); 19 end clk_div_step; 20 21 architecture arch of clk_div_step is 22 signal cnt2 : std_logic; 23 begin 2 ---------- clk divider ---------- 2 process(clk_in) 26 variable cnt1,divisor2 : integer range 0 to divisor; 27 begin 28 divisor2:=divisor/2; 29 ----- up counter ----- 30 if (clk_in'event and clk_in='1') then 31 if cnt1 = divisor then 32 cnt1 := 1; 33 else 3 cnt1 := cnt1 + 1; 3 end if; 36 end if; 37 ----- clk_out register clk generator ----- 38 if (clk_in'event and clk_in='1') then 39 if (( cnt1 = divisor2) or (cnt1 = divisor))then 0 cnt2 <= not cnt2 ; 1 end if; 2 end if; 3 clk_out <= cnt2 ; end process; end arch; 6 1

PIN_3 clock INPUT VCC clk_div _step Param eter Value divisor 3686 stepmotor_f ullb inst clk_in clk_out clk inst1 step[3..0] OUTPUT step[3..0] PIN_8 PIN_6 PIN_ PIN_ 16

clock 28 Step(3) Step(2) Step(1) 2 Step(0) 22 17

A B A B 1 1 0 0 0 2 1 1 0 0 3 0 1 0 0 0 1 1 0 0 0 1 0 6 0 0 1 1 7 0 0 0 1 8 1 0 0 1 18

19 /A EPM706S/LCC 6 8 3 I/GCLK1 STEP3 0 /B STEP0 STEP1 FT7 9 6 7 8 12 3 1 2 11 10 C3 B2 GND GND B3 B V+ B1 C1 C2 C V+ A CLOCK STEP2 MOTOR STEPPER 1 2 3 6 VCC B

12 entity stepmotor_half is 13 port( 1 clk : in std_logic; 1 step: out std_logic_vector(3 downto 0) 16 ); 17 end stepmotor_half; 18 19 architecture arch of stepmotor_half is 20 signal cnt : std_logic_vector(2 downto 0); 21 begin 22 23 ---------- process --------- 2 process(clk) 2 begin 26 if clk'event and clk='1' then 27 cnt <= cnt+1; 28 end if; 29 end process; 30 31 step <= "1000" when cnt=0 else 32 "1100" when cnt=1 else 33 "0100" when cnt=2 else 3 "0110" when cnt=3 else 3 "0010" when cnt= else 36 "0011" when cnt= else 37 "0001" when cnt=6 else 38 "1001"; 39 end arch; 20

13 entity clk_div_step is 1 generic(divisor:integer:= 00000); 1 port( 16 clk_in : in std_logic; 17 clk_out: out std_logic 18 ); 19 end clk_div_step; 20 21 architecture arch of clk_div_step is 22 signal cnt2 : std_logic; 23 begin 2 ---------- clk divider ---------- 2 process(clk_in) 26 variable cnt1,divisor2 : integer range 0 to divisor; 27 begin 28 divisor2:=divisor/2; 29 ----- up counter ----- 30 if (clk_in'event and clk_in='1') then 31 if cnt1 = divisor then 32 cnt1 := 1; 33 else 3 cnt1 := cnt1 + 1; 3 end if; 36 end if; 37 ----- clk_out register clk generator ----- 38 if (clk_in'event and clk_in='1') then 39 if (( cnt1 = divisor2) or (cnt1 = divisor))then 0 cnt2 <= not cnt2 ; 1 end if; 2 end if; 3 clk_out <= cnt2 ; end process; end arch; 6 21

PIN_3 clock INPUT VCC Par am e t e r V alu e div is or 3686 clk_div _step inst clk_in clk_out clk inst1 OUTPUT step[3..0] PIN_8 PIN_6 PIN_ PIN_ 22

clk 28 Step(3) 8 Step(2) Step(1) 2 Step(0) 22 23

if clk'event and clk='1' then if dir='1' then cnt <= cnt+1; else cnt <= cnt-1; end if; end if; 2

2 EPM706S/LCC 6 8 3 37 I/GCLK1 FT7 9 6 7 8 12 3 1 2 11 10 C3 B2 GND GND B3 B V+ B1 C1 C2 C V+ DIR B STEP1 STEP3 MOTOR STEPPER 1 2 3 6 STEP0 A /B STEP2 0 CLOCK VCC /A SW1 VCC

11 entity stepmotor_dir is 12 port( 13 clk : in std_logic; 1 dir : in std_logic; 1 step: out std_logic_vector(3 downto 0) 16 ); 17 end stepmotor_dir; 18 19 architecture arch of stepmotor_dir is 20signal cnt : std_logic_vector(1 downto 0); 21 begin 22 23 ---------- process --------- 2 process(clk) 2 begin 26 if clk'event and clk='1' then 27 if dir='1' then 28 cnt <= cnt+1; 29 else 30 cnt <= cnt-1; 31 end if; 32 end if; 33 end process; 3 3 step <= "1000" when cnt=0 else 36 "0100" when cnt=1 else 37 "0010" when cnt=2 else 38 "0001"; 39 end arch; 26

13 entity clk_div_step is 1 generic(divisor:integer:= 00000); 1 port( 16 clk_in : in std_logic; 17 clk_out: out std_logic 18 ); 19 end clk_div_step; 20 21 architecture arch of clk_div_step is 22 signal cnt2 : std_logic; 23 begin 2 ---------- clk divider ---------- 2 process(clk_in) 26 variable cnt1,divisor2 : integer range 0 to divisor; 27 begin 28 divisor2:=divisor/2; 29 ----- up counter ----- 30 if (clk_in'event and clk_in='1') then 31 if cnt1 = divisor then 32 cnt1 := 1; 33 else 3 cnt1 := cnt1 + 1; 3 end if; 36 end if; 37 ----- clk_out register clk generator ----- 38 if (clk_in'event and clk_in='1') then 39 if (( cnt1 = divisor2) or (cnt1 = divisor))then 0 cnt2 <= not cnt2 ; 1 end if; 2 end if; 3 clk_out <= cnt2 ; end process; end arch; 6 27

PIN_3 clock INPUT VCC Param eter Value divisor 3686 clk_div _step stepmotor_dir PIN_37 dir clk_in inst INPUT VCC clk_out clk dir inst1 step[3..0] OUTPUT step[3..0] PIN_8 PIN_6 PIN_ PIN_ 28

clock 28 Step(3) dir 222 Step(2) Step(1) 2 Step(0) 22 29