<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63>

Similar documents
a b c d e f g C2 C1 2

B 6 A A N A S A +V B B B +V 2

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

IC芯片自主创新设计实验

Microsoft PowerPoint - EDA-理论3 [兼容模式]

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

⊙内容:常用逻辑电路设计

Microsoft Word - 部分习题参考答案.doc


电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

zt

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路

2011-论文选集-2.cdr

untitled

第3节 VHDL语言的常用语法

4 / ( / / 5 / / ( / 6 ( / / / 3 ( 4 ( ( 2

数字电子技术与微处理器基础

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos(

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路

8.3 模块设计 ⒈ 主体控制模块 控制部分由时序输出及楼选计数器 电梯请求服务处理器 电梯升降控制器 电梯升降寄存器 及电梯次态生成器等组成, 其内部结构如图 8-2 所示 时钟 CLK 时序输出及楼选计数器 (Fd) 上升请求 UP 下降请求 DOWN 楼层选择 FCH 电梯服务 请求处理器 F

<4D F736F F F696E74202D ABE1A4A420A4A4C2E5BEC7B7A7BDD720C2E5BEC7B7BDAC79BB50B56FAE6920ADD9B0B7AFE82E BACDBAE65BCD2A6A15D>

主 題 四 : 都 卜 勒 效 應 一 都 卜 勒 效 應 1. 現 象 : 當 波 源 與 觀 察 者 連 線 間 有 相 對 運 動 時, 聽 者 所 接 收 到 的 頻 率 ( 視 頻 ) 將 與 波 源 之 原 頻 率 不 同, 此 現 象 稱 為 都 卜 勒 效 應 例 如 站 於 路 旁


《米开朗琪罗传》

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

数字电子技术与微处理器基础

地 理 志 鏡 止 煞, 來 達 到 安 宅 的 效 果 4. 門 神 符 紙 : 於 門 板 繪 製 門 神, 作 為 宅 第 的 守 護, 民 宅 所 使 用 的 門 神 題 材, 多 為 天 官 賜 福 或 文 武 官 員 符 紙 是 以 畫 了 符 咒 的 紙 懸 掛 室 內, 或 加 框

第 一 节 项 目 概 况 一 项 目 简 介 二 投 资 主 体 第 二 节 投 资 方 案 一 预 计 投 资 总 额 二 建 设 方 案 目 录 韶 关 爱 尔 项 目 可 行 性 研 究 报 告 第 三 节 项 目 实 施 的 必 要 性 与 可 行 性 一 项 目 实 施 的 必 要 性


untitled

试卷

第9章内容提要


RC总监办主要职责.doc

九十六學年度第一學期第三次定期考國文科試題

Microsoft Word - 〈出師表〉補充講義-1214.doc

99年 2月25日教師會議記錄

穨飲食與養老_決定版_.PDF

untitled

《捕捉儿童敏感期》

2 國 文 考 科 試 題 解 析 命 題 出 處 與 南 一 版 第 五 冊 第 二 課 幽 夢 影 選 課 程 內 涵 同 試 題 解 析 某 君 講 信 用, 重 然 諾, 行 事 穩 健, 工 作 負 責 較 符 合 謹 飭 友 謹 飭 友 指 的 是 言 行 謹 慎 而 有 節 制 的 朋

untitled

29 碳 酸 钙 D3 片 ( 别 名 维 生 素 D3 碳 酸 钙 ) 吉 林 省 第 一 批 低 价 药 30 炔 诺 酮 滴 丸 吉 林 省 第 一 批 低 价 药 31 去 氯 羟 嗪 片 吉 林 省 第 一 批 低 价 药 32 茶 苯 海 明 片 吉 林 省 第 一 批 低 价 药 33

untitled

第一章


没有幻灯片标题

Microsoft Word - ZLI14A0-105

T051F_01

新 闻 学 46 7 新 闻 传 播 学 院 广 告 学 28 4 广 播 电 视 学 23 3 新 闻 学 广 告 学 ). 级 学 生 申 请 准 入 需 修 完 或 正 在 修 2 门 专 业 准 入 课 程 并 取 得 相 应 学 分 ;2). 级 学 生 申 请 准 入 需

一量动…

(精校版)陕西省语文卷文档版(含答案)-2011年普通高等学校招生统一考试.doc

1 什么是Setup 和Holdup时间?

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

64 [ 46 ] (p297) 1924 :? [ 47 ] (p570) ; ; ; ; ; ; ; ; ; ; ; [ 11 ]; [ 35 ] [ 49 ] [ 32 ] ( ) [ 48 ] (p 425) [ 50 ] (p 670 6

行业

格 配 ( 新 ) 股 发 行 数 量 / 暂 停 上 市 前 总 股 本 ] 暂 停 上 市 前 总 股 本 / 恢 复 上 市 时 总 股 本 A 股 恢 复 上 市 首 日 开 盘 参 考 价 :6.80 元 / 股 ( 经 四 舍 五 入 处 理 ) 根 据 有 关 北 亚 集 团 股 票

1.3

56,,,,, :,, 1953,, 1953,1953,,1953,,,,,,,,, () ,30118, 34, ;,4912 %,5614 %, 1,1953, 1119, ,, , , 1111 (

_付_中认协注_2007_118号1.doc

数字逻辑设计2013

商 业 城 大 华 标 准 70 万 70 万 驰 宏 锌 锗 瑞 华 标 准 140 万 150 万 亚 星 锚 链 江 苏 公 证 天 业 标 准 80 万 80

欢迎辞

金 陵 饭 店 中 兴 华 已 报 备 按 照 国 资 委 要 求 定 期 轮 换 天 衡 已 报 备 按 照 国 资 委 要 求 定 期 轮 换 *ST 中 富 中 喜 已 报 备 业 务 约 定 书 到 期 普

日 涨 幅 偏 离 值 达 到 7% 的 前 五 只 证 券 : 温 氏 股 份 ( 代 码 ) 涨 幅 偏 离 值 :11.68% 成 交 量 :1752 万 股 成 交 金 额 : 万 元 机 构 专 用 机 构 专 用

上市公司股东大会投票信息公告( )

股票代码: 股票简称:*ST新梅 编号:临

东 华 能 源 江 苏 苏 亚 金 诚 已 报 备 因 地 域 及 审 计 时 间 安 排 等 原 因 中 兴 华 已 报 备 客 户 重 新 选 聘 会 计 师 事 务 所 亿 帆 鑫 富 立 信 已 报 备 客

昆 明 机 床 瑞 华 已 报 备 前 任 服 务 年 限 较 长 毕 马 威 华 振 已 报 备 未 与 客 户 未 就 2015 年 审 计 收 费 达 成 一 致 意 见 中 国 核 电 天 健 已 报 备 定

金 利 科 技 临 时 停 牌 凤 凰 光 学 重 要 事 项 未 公 告, 连 续 停 牌 安 源 煤 业 重 要 事 项 未 公 告, 连 续 停 牌 万 泽 股 份 临 时 停 牌 爱 康 科 技 重 大 事 项, 特 停

光 一 科 技 重 大 事 项, 特 停 茂 业 商 业 重 要 事 项 未 公 告, 连 续 停 牌 浙 富 控 股 重 大 事 项, 特 停 键 桥 通 讯 重 大 事 项, 特 停 黑 牛 食 品 重 大 事 项, 特 停

卧 龙 地 产 重 要 事 项 未 公 告, 连 续 停 牌 春 兴 精 工 临 时 停 牌 *ST 沧 大 重 要 事 项 未 公 告, 连 续 停 牌 天 地 源 重 要 事 项 未 公 告, 连 续 停 牌 汇 冠 股 份

金 圆 股 份 重 大 事 项, 特 停 长 城 影 视 临 时 停 牌 天 兴 仪 表 临 时 停 牌 商 赢 环 球 重 要 事 项 未 公 告, 连 续 停 牌 荣 安 地 产 临 时 停 牌 中 南 文 化

Untitled Document

辉 丰 股 份 重 大 事 项, 特 停 南 方 轴 承 临 时 停 牌 德 力 股 份 临 时 停 牌 瑞 丰 光 电 临 时 停 牌 联 建 光 电 临 时 停 牌 卡 奴 迪 路 临 时 停 牌

郑 州 煤 电 重 要 事 项 未 公 告, 连 续 停 牌 金 圆 股 份 重 大 事 项, 特 停 永 鼎 股 份 重 要 事 项 未 公 告, 连 续 停 牌 长 城 影 视 临 时 停 牌 天 兴 仪 表 临 时 停 牌

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Microsoft PowerPoint - vlsi_chapter02

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

<4D F736F F D20D5D0B1EACEC4BCFEBCB0C7E5BDE0B7FECEF1BACFCDAC28C2C9CAA6B0E631A3A92E646F6378>

<4D F736F F D20B160A5CEA4A4B0EABCF4BB79A5DCA8D22E646F63>

國立中山大學學位論文典藏.PDF

"#" " "" " " "# $ " %( )# #( %& ( " % " " # ) *# " # " $ " #(( " " "#+( % " % $ " & # " " $ $ " " $ % & " #$ % $ "& $ "" " ") # #( "( &( %+"(

89,,,,,,,,,,,,,,,,?,???,,,,,,,,,,,,,

!"#!" # $% & ($) *! +,-./ 0%)!1"%& 0%2!$!$$$ "$$$$ #$ % $$30!4$4 5,6 *& (+ 0!&" * + 7!!4 & ( )! & ( )! 80)09! 7&! #!1!1$" &&!!%!,-./ 0%)!1"%& 0%2 &1$

!!! #!!! $##%!!! $!!!! &!!!! (!! %!! )!!! *!!!!!!! #!!!!! $

!##$ %!!##$ & (!##$ %!!##$ &!##$!##(!##$! "

_題目卷

untitled

ebook105-1

超限高层建筑 设计获奖 AWARDS 幕墙设计 为了表现重叠书本所构成 的自然凹凸的立面效果 同时 考虑其控制直射日光的遮阳板 功能 立面的外部凹凸装修设 计采用了框架式干挂天然石材 国产花岗石 的施工方法 石材幕墙内部设置聚苯乙烯隔 热板材 在达到高效节能的目 标的同时也有效地降低了外墙 的结构重

000

Microsoft PowerPoint - 06时序逻辑电路

Microsoft Word - EDA2006_A_Answer


行业

中国地质大学(武汉)

Transcription:

集成电路与智能系统创新基地测试题 (2009 暑期 ) 班级姓名电话 email: 模拟电子技术部分 一 电路如图所示 设 A ~A 4 为理想运放, 三极管 T 的 V CES =0,I CEO =0.A ~A 4 各组成什么电路? 2. 设 t = 0 时, 电容器上的初始电压 v C (0) = 0 求 t = s 和 t = 2 s 和 E 各点对地的电压 时,A B C D.A 组成减法运算电路,A 2 组成积分运算电路,A 3 组成反相器,A 4 组成电压比较器 t va v3 vb = ( + ) dt 2. C 0 R3 R2, 有 t/s v A /V v B /V v C /V v D /V v E /V 0.3-3 3 6 0 2 0.3-6 6-6 9 二 电路如图所示,A 和 A 2 均为理想器件, V z =5V 系 );. 试画出 v 及 v o o2 的波形 ( 注意相位关 2. 求出的 v 和 v o o2 幅值 ; 3. 求出 v o 的周期. o2 向正方向变 ) v 为方波, v o 为三角波 ( v o2 为高电平时, v o 由正向负方向变 ; 反之, v o 由负 2. o v v o2 的幅值都为 ±5V 3. v o 的周期 T=0.2ms 三 电路如图所示,A A 2 为理想运放

. 为使电路满足起振的幅值条件,R f 应如何选择? 2. 为使电路产生 00Hz 的正弦波振荡,R 应该选多大? 3. 现有一个具有正温度系数的热敏电阻 R f, 为了稳幅, 可将它替换哪个电阻 ( 假设它与被替换电阻的阻值相同 )? 4. 若 V O 的峰值等于 5V, 试对应画出 V O 和 V O2 的波形图, 并标明它们的幅值 A. R R f f = + 3 2 R f 2R R R V = 40K f = 2. 2πRC 3. 替换 R R = 2πcf = 2π 0. 0 6 = 5. 9 = 6( KΩ ) 00 V0 = VP2 = 2V + ( ± 6V ) = V ± 3V 2 2 4. Vth = 4V Vth2 = 2V 数字电子技术部分 四 如图所示, 为检测水箱的液位, 在 A B C 三个地方安置了三个水位检测元件, 当水面低于检测元件时, 检测元件输出低电平, 水面高于检测元件时, 检测元件输出高电平 试用与非门设计一个水位状态显示电路, 要求 : 当水面在 A B 之间的正常状态时, 仅绿灯 G 亮 ; 水面在 B C 间或 A 以上的异常状态时, 仅黄 Y 灯亮 ; 水面在 C 以下的危险状态时, 仅红灯 R 亮 真值表如表所示, 各逻辑函数的与非 - 与非表达式分别为 A B C R = C Y = A+ BC = A BC G = AB 逻辑图略 表 A B C R Y G 0 0 0 0 0 0 0 0 0 2

0 0 0 0 0 0 0 0 0 0 0 五 发由全加器 FA 2-4 线译码器和门电路组成的逻辑电路如图 a 所示 试在图 b 中填写输出逻辑函数 L 的卡诺图并化简 a S i b C i CI FA CO C i & & L L c E Y 0 & b d c A 0 A Y Y 2 Y 3 a d (a) (b) L c a 0 0 0 d b 六 逻辑电路如图 4 所示, 试画出 Q 0 Q Q 2 的波形 设各触发器初态为 0 J C K Q 0 J C K Q J C K Q 2 = CP FF 0 FF FF 2 CP 驱动方程 :J 0 =Q 2 K 0 =, J = K = Q 2 Q 0, J 2 = K 2 = Q + Q0 波形图如图 A4 3

七 由 555 定时器组成的脉冲电路及参数如图 8 a 所示 已知 v I 的电压波形如图 b 所示 试对应 v I 画出图中 v O v O2 的波形 ; +5V v I υ I 4 7 6 2 8 4 555 () 3 5 0.0μF R 5 kω 8 4 v O R 2 7 3 47kΩ 555 6 (2) 2 5 C 0.0μF 0.0μF (a) v O2 0 υ I /V 5 0 5 20 25 t/ms 4 0/3 5/3 0 5 0 5 20 25 t/ms υ O O υ O2 t/ms O t/ms 4

电路理论部分 八 如下图示含理想运算放大器电路, 试计算电路的电压增益 九 求如下图所示的一端口网络的输入电阻 5

十 采用节点电压法计算下左图电路的电流 6

十一 7

8

数字电路设计与 FPGA 部分 十二 看下面原理图, 写出相应 VHDL 描述 xin INPUT DFF OR DFF clk INPUT D Q D Q OUTPUT yout LIBARRY IEEE; USE IEEE.STD_LOGIC_64.ALL; ENTITY MYCIR IS PORT ( XIN, CLK : IN STD_LOGIC; YOUT : OUT STD_LOGIC); END MYCIR; ARCHITECTURE ONE OF MYCIR IS SIGNAL A, B, C; BEGIN B <= XIN OR A; PROCESS (CLK) BEGIN IF CLK EVENT AND CLK = THEN A <= C; C <= B; END IF; END PROCESS; YOUT <= C; END ONE; 十三 下图是一个 A/D 采集系统的部分, 要求设计其中的 FPGA 采集控制模块, 该模块由三个部分构成 : 控制器 (Control) 地址计数器(addrcnt) 内嵌双口 RAM(adram) 控制器 (control) 是一个状态机, 完成 AD574 的控制, 和 adram 的写入操作 adram 是一个 LPM_RAM_DP 单元, 在 wren 为 时允许写入数据 试分别回答问题 信号预处理 放大采样 / 保持 AnalogIn AD574 ADData 8 STATUS CS CE A0 RC K2_8 Control rddata 8 wren ClkInc adram (lpm_ram_dp) 6 地址计数器 wraddr 8 rddata rdaddr 6 Cntclr CLK FPGA 采集控制 下面列出了 AD574 的控制方式和控制时序图 : AD574 逻辑控制真值表 (X 表示任意 ) CE CS RC K2_8 A0 工作状态 0 X X X X 禁止 X X X X 禁止 0 0 X 0 启动 2 位转换 0 0 X 启动 8 位转换 0 X 2 位并行输出有效 9

0 0 0 高 8 位并行输出有效 0 0 低 4 位加上尾随 4 个 0 有效 问题 : AD574 工作时序. 要求 AD574 工作在 8 位转换模式,K2_8 A0 在 control 中如何设置? 2. 试画出 control 的状态机的状态图 3. 地址计数器每当 ClkInc 时钟上升沿到达, 输出地址加, 请对该模块进行 VHDL 描述 4. 根据状态图, 试对 control 进行 VHDL 描述 K2_8 低电平 ;A0 高电平 2 3 Library ieee; Use ieee.std_logic_64.all; Use ieee.std_logic_unsigned.all; Entity cnt64 is Port ( ClkInc, Cntclr : in std_logic; -- 时钟信号和清零信号输入 Wraddr : out std_logic_vector (5 downto 0) ); End cnt64; Architecture one of cnt64 is Process (clkinc, cntclr) Variable counter : std_logic_vector (5 downto 0); If cntclr = then counter := (others => 0 ); Elsif clkinc = and clkinc event then counter := counter + ; 0

Wraddr <= counter; End process; End one; 4 Library ieee; Use ieee.std_logic_64.all; Use ieee.std_logic_unsigned.all; Entity control is Port ( CLK, STATUS : in std_logic; -- 时钟信号和 AD 转换状态信号输入 ADDATA : in std_logic_vector (7 downto 0); -- 转换数据输入 CS, CE, A0, RC, K2_8 : out std_logic; -- AD574 控制信号 ClkInc : out std_logic; -- 地址计数器时钟信号 rddata : out std_logic_vector (7 downto 0) ); -- 转换数据输出 End control; Architecture behave of control is Type sm_state is (s0, s, s2, s3, s4); Signal c_st, n_st : sm_state; Signal lock : std_logic; Signal regdata : std_logic_vector(7 downto 0); K2_8 <= 0 ; A0 <= ; Process (clk) If clk event and clk = then c_st <= n_st; end if; End process; Process (c_st, status) Case c_st is When s0 => n_st <= s; rc <= ; ce <= 0 ; cs <= ; lock <= 0 ; When s => n_st <= s2; rc <= 0 ; ce <= ; cs <= 0; lock <= 0 ; When s2 => if status = 0 then n_st <= s3; else n_st <= s2; Rc <= ; ce <= cs <= 0 ; lock <= 0 ; When s3 => n_st <= s4; rc <= ; ce <= ; cs <= 0 ; lock <= ; When s4 => n_st <= s0; rc <= ; ce <= ; cs <= 0 ; lock <= 0 ; When others => n_st <= s0; End case; End process; Process (lock) If lock event and lock = then Regdata <= addata; Clkinc <= ; Else Clkinc <= 0 ; End process; Rddata <= regdata; End behave; 十四 已知状态机状态图如图 (a) 所示 ; 完成下列各题 :

. 试判断该状态机类型, 并说明理由 2. 根据状态图, 写出对应于结构图 (b), 分别由主控组合进程和主控时序进程组成的 VHDL 有限状态机描述 3. 若已知输入信号如下图所示, 分析状态机的工作时序, 画出该状态机的状态转换值 (current_state) 和输出控制信号 (outa); 4. 若状态机仿真过程中出现毛刺现象, 应如何消除 ; 试指出两种方法, 并简单说明其原理 该状态机为 moore 型状态机, 输出数据 outa 和输入 ina 没有直接逻辑关系,outa 是时 钟 clk 的同步时序逻辑 2 Library ieee; Use ieee.std_logic_64.all; Entity mooreb is Port (clk, reset : in std_logic; Ina : in std_logic_vector ( downto 0); Outa : out std_logic_vector (3 downto 0) ); End mooreb; Architecture one of mooreb is Type ms_state is (st0, st, st2, st3); Signal c_st, n_st : ms_state; Process (clk, reset) If reset = then c_st <= st0; Elsif clk event and clk = then c_st <= n_st; End process; Process (c_st) Case c_st is 2

When st0 => if ina = 00 then n_st <= st0; Else n_st <= st; Outa <= 00 ; When st => if ina = 00 then n_st <= st; Else n_st <= st2; Outa <= 000 ; When st2 => if ina = then n_st <= st0; Else n_st <= st3; Outa <= 00 ; When st3 => if ina = then n_st <= st3; Else n_st <= st0; Outa <= 0 ; When others => n_st <= st0; End case; End process; End one; 3 4 方法, 添加辅助进程对输出数据进行锁存方法 2, 将双进程状态机改写为单进程状态机, 其输出也是锁存过了, 故能消除毛刺方法 3, 使用状态位直接输出型状态机编码方式, 其输出直接由当前状态输出, 也没有毛刺 3