S7-1200 可编程控制器



Similar documents
舒適面板

s

pdf

untitled

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

160 SIMATIC LOGO S7 PC SIMATIC S7-200 SMART PLC SINAMICS SIMATIC S7-200 SMART 2

用于工业以太网的S7-CP - 手册B3L部分 - CP Lean

untitled

MICROMSTER 410/420/430/440 MICROMSTER kw 0.75 kw 0.12kW 250kW MICROMSTER kw 11 kw D C01 MICROMSTER kw 250kW E86060-

2005.book

SIMOCODE pro 3UF PCS SIMOCODE ES SIMOCODE pro 3UF UL22 38 PROFIBUS MCC Siemens LV

General CNC PCU SINUMERIK OP TP SINUMERIK PCU PC USB PC SINUMERIK SINUMERIK PCU SINUMERIK PCU PC SINUMERIK OP SINUMERIK PCU SINUMERIK SINUMERIK PCU MP

SIMATIC HMI WinCC flexible 2007 Runtime

SIMATIC 3 4 (TIA)

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol

t gb.fm

instructions.PDF

00_Einleitung.qxd

untitled

CMMS-ST-G2-S1_BES_D_ z1_ a

安装:工业以太网轨道式交换机RS20 基础系列

SINAMICS G120 siemens.com/sinamics-g120 Answers for industry.


MICROMSTER 420/430/440 MICROMSTER kw 11 kw 0.12kW 250kW D MICROMSTER kw 250kW C01 E86060-D B MICROMSTER 440

untitled

2 25ms (DX1002 DX1004 DX2004 DX2008) : 200MB 2GB CF USB CF 200MB 170 CF

untitled

untitled

MT5V4Borchure.cdr

Unidrive M Unidrive M M200 I/O CommanderSK Unidrive M Unidrive M Discover Unidrive M ( AppStore Android ) E

5m m SE2L m 1 170cm 70cm RS IDEC 2 RF2 4 6 RF1V 2

Ch03_嵌入式作業系統建置_01

Microsoft Word - 第三章第三節.doc

LP5_104017_警用應勤裝備_採購規範

供热 2 版.indd

世界科技研究与发展 厂 FE EL 型实验室 H计 梅特勒 托利多仪器上海 H敏感 时间控释 OCDD 从而提高结肠定位 材料 制备 有限公司 释药的可靠性 材料 滚转包衣基本工艺参数 盐酸小檗碱对照品 中国药品生物制品检定所 盐酸小 年 月 热风干燥温度 适当升高干燥温度 可有效 檗碱原料药 哈药

mm420£±£±-ÐÂ

MICROMASTER DA51-2 MICROMASTER 410/420/430/ KW250KW E20001-K4260-C100-V5-5D J CA01 SD CA01 SD CD M17 E20001-K5690- C100-V2-5

Microsoft Word - ET 200SP Brochure_tc_all.doc

B1B1z_ch_print.pdf

DT A B C D X PU PS PG! PU LV

untitled

TouchWin Human Machine Interface

instructions.PDF

Microsoft Word - OPIGIMAC 譯本.doc

按 系 统 提 示 完 成 安 装 并 生 成 交 易 图 标, 双 击 图 标 即 可 运 行 金 阳 光 独 立 委 托 系 统 通 讯 设 置 按 钮 中 行 情 地 址 交 易 地 址 高 级 设 置, 其 中 行 情 地 址 交 易 地 址 可 以 分 别 用 来 设 置 服 务 器 地


目 录 1. 关 于 本 文 档 适 用 范 围 目 标 群 体 图 例 说 明 补 充 文 档 正 确 使 用 安 全 功 能 说 明 免 责 和 质 保

50-FB23-24_BES_V_ z1_ b

CMMP-AS-M0-S1_BES_D_ z1_ a

第一部分2.25.indd

??ó-ê1ó? LOGO!

<B0B8C0FDCAD6B2E15FD3A1CBA2B0E6>

Unidrive M Unidrive M Unidrive M600 I/O Commander SK Unidrive M Unidrive M Discover Unidrive M App Store Android E

untitled

CD (OpenSourceGuide OpenSourceGuide.pdf) : IR LED

Measurement Studio Expands Your Test and Measurement Programming Power

Application description

V. PID PID...0

Microsoft Word - step3使用说明新.doc

i-Mege B2210 Series

SENTRON WL SENTRON 1600A 6300A OEM

untitled

STC-U10

电力服务手册之电力科普知识问答

untitled

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

idrn/idrx U U RTD U 1800 Vdc U idrn 0 ~ 10 Vdc 4 ~ 20 ma 0 ~ 20 ma U idrx RS-485 ASCII MODBUS U U idrn id id id 35mm DIN 10 ~ 32 DC 24 Vdc 850 ma 1800


MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP 2 2

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

TwinCAT 1. TwinCAT TwinCAT PLC PLC IEC TwinCAT TwinCAT Masc

VLT® Soft Starter Selection Guide

untitled

4 / ( / / 5 / / ( / 6 ( / / / 3 ( 4 ( ( 2

untitled

사용 설명서

/ / (FC 3)...

安 全 指 南 : 必 须 遵 守 所 有 的 警 告 事 项, 以 确 保 自 己 和 他 人 的 安 全 以 及 保 护 产 品 和 连 接 装 置 这 些 警 告 事 项 都 按 警 示 程 度 明 示 出 等 级 有 资 格 的 人 员 : YO-YO 只 能 进 行 与 手 册 有 关 的

IEC JIS HIOKI : 25~1000V : AC3kV : AC5kV : 2000M : AC5kV : 9999M : AC/DC 5kV : 4000M ( 1000V/500V * /

Sun StorEdge 3000 系列安装、操作和维护手册 (3310)

PROFIBUS3.doc

MESSAGE LG LG 900 Cleveland Motion HORNER APG % LG ( 900 Cleveland Motion Controls HORNER APG % 2

68369 (ppp quickstart guide)

untitled


目 录 目 录 特 等 奖 幻 影 显 示 系 统 3 一 等 奖 安 防 卫 士 6 车 联 网 演 示 系 统 10 星 载 降 水 雷 达 综 合 测 试 系 统 13 生 物 传 感 器 课 件 17 二 等 奖 铆 钉 机 器 视 觉 自 动 测 试 系 统 18 基 于 FTIR 的 L

<4D F736F F D20B5F8C4B1A55CAFE0B5FBA6F4ACF6BFFDAAED2E646F63>

MICROMASTER DA51-2 MICROMASTER 410/420/430/ KW250KW E20001-K4260-C100-V5-5D J CA01 SD CA01 SD E20001-K5690- C100-V2-5D00 134

Microsoft Word - oem VX6000定稿.doc

ULTRAMAT 23 ULTRAMAT 23 CO, CO 2, NO, N 2 SO 2, CH 4, R22( CHCIF 2 ) O ULTRAMAT 23 <1l/min IR ULTRAMAT 23 19" NO x NO x ULTRAMAT 23 6mm 1/4 TÜV

AXIS P7224 Video Encoder Blade – Installation Guide

audiogram3 Owners Manual

1.pdf

線路介面模組安裝說明

untitled

未命名-2

1. 概 述 ING-3026 纯 电 流 厂 用 压 器 保 护 装 置 ( 以 下 简 称 装 置 ), 主 要 适 应 6KV~35KV 小 型 变 压 器 保 护, 是 专 门 为 开 关 柜 设 计 的 新 一 代 智 能 装 置 主 要 功 能 保 护 功 能 : a) 速 断 保 护

Avision

括 教 育 在 内 西 学 得 以 力 推 广 制 颁 发 和 癸卯 学制 实 施 更 使 教 育在 学 校 教 育 占 据 相 当 重 要 地 位 达到 了 前所 未 有 程度 以 学为 例 科 学 时数 占 总 学 时数 比 例 甚至超 过 国 文科 壬寅学 直维持 在 约 辛亥 革命 以 后

Transcription:

www.plcworld.cn

前 言 SIMATIC S7 系 统 手 册 产 品 概 述 1 安 装 2 PLC 概 念 3 设 备 配 置 4 编 程 概 念 5 编 写 指 令 6 PROFINET 7 点 对 点 (PtP) 通 信 8 在 线 和 诊 断 工 具 9 A 技 术 规 范 B 计 算 功 率 预 算 C 订 货 号 11/2009 A5E02486685-02

法 律 资 讯 法 警 告 律 提 资 示 讯 系 统 为 了 您 的 人 身 安 全 以 及 避 免 财 产 损 失, 必 须 注 意 本 手 册 中 的 提 示 人 身 安 全 的 提 示 用 一 个 警 告 三 角 表 示, 仅 与 财 产 损 失 有 关 的 提 示 不 带 警 告 三 角 警 告 提 示 根 据 危 险 等 级 由 高 到 低 如 下 表 示 危 险 表 示 如 果 不 采 取 相 应 的 小 心 措 施, 将 会 导 致 死 亡 或 者 严 重 的 人 身 伤 害 警 告 表 示 如 果 不 采 取 相 应 的 小 心 措 施, 可 能 导 致 死 亡 或 者 严 重 的 人 身 伤 害 小 心 带 有 警 告 三 角, 表 示 如 果 不 采 取 相 应 的 小 心 措 施, 可 能 导 致 轻 微 的 人 身 伤 害 小 心 不 带 警 告 三 角, 表 示 如 果 不 采 取 相 应 的 小 心 措 施, 可 能 导 致 财 产 损 失 注 意 表 示 如 果 不 注 意 相 应 的 提 示, 可 能 会 出 现 不 希 望 的 结 果 或 状 态 当 出 现 多 个 危 险 等 级 的 情 况 下, 每 次 总 是 使 用 最 高 等 级 的 警 告 提 示 如 果 在 某 个 警 告 提 示 中 带 有 警 告 可 能 导 致 人 身 伤 害 的 警 告 三 角, 则 可 能 在 该 警 告 提 示 中 另 外 还 附 带 有 可 能 导 致 财 产 损 失 的 警 告 合 格 的 专 业 人 员 本 文 件 所 属 的 产 品 / 系 统 只 允 许 由 符 合 各 项 工 作 要 求 的 合 格 人 员 进 行 操 作 其 操 作 必 须 遵 照 各 自 附 带 的 文 件 说 明, 特 别 是 其 中 的 安 全 及 警 告 提 示 由 于 具 备 相 关 培 训 及 经 验, 合 格 人 员 可 以 察 觉 本 产 品 / 系 统 的 风 险, 并 避 免 可 能 的 危 险 按 规 定 使 用 Siemens 产 品 请 注 意 下 列 说 明 : 警 告 商 标 Siemens 产 品 只 允 许 用 于 目 录 和 相 关 技 术 文 件 中 规 定 的 使 用 情 况 如 果 要 使 用 其 他 公 司 的 产 品 和 组 件, 必 须 得 到 Siemens 推 荐 和 允 许 正 确 的 运 输 储 存 组 装 装 配 安 装 调 试 操 作 和 维 护 是 产 品 安 全 正 常 运 行 的 前 提 必 须 保 证 允 许 的 环 境 条 件 必 须 注 意 相 关 文 件 中 的 提 示 所 有 带 有 标 记 符 号 的 都 是 西 门 子 股 份 有 限 公 司 的 注 册 商 标 标 签 中 的 其 他 符 号 可 能 是 一 些 其 他 商 标, 这 是 出 于 保 护 所 有 者 权 利 的 目 地 由 第 三 方 使 用 而 特 别 标 示 的 责 任 免 除 我 们 已 对 印 刷 品 中 所 述 内 容 与 硬 件 和 软 件 的 一 致 性 作 过 检 查 然 而 不 排 除 存 在 偏 差 的 可 能 性, 因 此 我 们 不 保 证 印 刷 品 中 所 述 内 容 与 硬 件 和 软 件 完 全 一 致 印 刷 品 中 的 数 据 都 按 规 定 经 过 检 测, 必 要 的 修 正 值 包 含 在 下 一 版 本 中 Siemens AG Industry Sector Postfach 48 48 90026 NÜRNBERG 德 国 文 件 订 购 号 : 6ES7298-8FA30-8KH0 P 10/2009 Copyright Siemens AG 2009. 本 公 司 保 留 技 术 更 改 的 权 利

前 言 手 册 用 途 S7-1200 系 列 是 一 款 可 编 程 逻 辑 控 制 器 (PLC, Programmable Logic Controller), 可 以 控 制 各 种 自 动 化 应 用 S7-1200 设 计 紧 凑 成 本 低 廉 且 具 有 功 能 强 大 的 指 令 集, 这 些 特 点 使 它 成 为 控 制 各 种 应 用 的 完 美 解 决 方 案 S7-1200 型 号 和 基 于 Windows 的 编 程 工 具 提 供 了 解 决 自 动 化 问 题 时 需 要 的 灵 活 性 本 手 册 提 供 了 有 关 S7-1200 PLC 的 安 装 和 编 程 信 息, 其 主 要 用 户 是 具 备 可 编 程 逻 辑 控 制 器 基 本 知 识 的 工 程 师 编 程 人 员 安 装 人 员 和 电 工 人 员 所 需 的 基 本 知 识 要 理 解 本 手 册, 需 要 具 备 自 动 化 和 可 编 程 逻 辑 控 制 器 的 基 本 知 识 手 册 适 用 范 围 本 手 册 适 用 于 STEP 7 Basic V10.5 和 S7-1200 产 品 系 列 有 关 本 手 册 中 所 述 S7-1200 产 品 的 完 整 列 表, 请 参 见 技 术 规 范 ( 页 321) 证 书 CE 标 签 C 标 记 和 其 它 标 准 请 参 见 技 术 规 范 ( 页 321) 以 获 取 更 多 信 息 服 务 与 支 持 除 了 文 档 之 外, 我 们 还 在 Internet 的 以 下 网 址 处 提 供 了 专 业 技 术 知 识 : http://www.siemens.com/automation/support-request 如 需 要 回 答 任 何 技 术 问 题 培 训 或 订 购 S7 产 品 方 面 的 帮 助, 请 与 西 门 子 经 销 商 或 销 售 部 联 系 因 为 西 门 子 销 售 代 表 都 经 过 技 术 培 训 并 掌 握 有 关 操 作 过 程 和 工 业 以 及 有 关 您 使 用 的 各 种 西 门 子 产 品 的 最 具 体 的 知 识, 所 以 他 们 能 够 最 快 最 高 效 地 回 答 您 可 能 遇 到 的 任 何 问 题 系 统 手 册, 11/2009, A5E02486685-02 3

前 言 4 系 统 手 册, 11/2009, A5E02486685-02

目 录 前 言...3 1 产 品 概 述...11 1.1 S7-1200 PLC 简 介... 11 1.2 信 号 板... 14 1.3 信 号 模 块... 14 1.4 通 信 模 块... 15 1.5 STEP 7 Basic... 15 1.5.1 使 工 作 更 轻 松 的 不 同 视 图... 16 1.5.2 在 您 需 要 时 提 供 的 帮 助... 17 1.6 显 示 面 板... 20 2 安 装...23 2.1 安 装 和 拆 卸 步 骤... 26 2.1.1 安 装 和 拆 卸 CPU... 28 2.1.2 安 装 和 拆 卸 信 号 模 块... 29 2.1.3 安 装 和 拆 卸 通 信 模 块... 31 2.1.4 安 装 和 拆 卸 信 号 板... 32 2.1.5 拆 卸 和 重 新 安 装 S7-1200 端 子 板 连 接 器... 33 2.2 接 线 准 则... 34 3 PLC 概 念...39 3.1 用 户 程 序 的 执 行... 39 3.1.1 CPU 的 工 作 模 式... 41 3.1.2 事 件 执 行 的 优 先 级 与 排 队... 45 3.1.3 CPU 存 储 器... 52 3.1.4 S7-1200 CPU 的 密 码 保 护... 57 3.1.5 丢 失 密 码 后 恢 复... 58 3.2 数 据 存 储 存 储 区 和 寻 址... 58 3.3 数 据 类 型... 63 3.4 使 用 存 储 卡... 67 3.4.1 在 CPU 中 插 入 存 储 卡... 68 3.4.2 将 项 目 复 制 到 存 储 卡 之 前 组 态 CPU 的 启 动 参 数... 69 3.4.3 传 送 卡... 69 3.4.4 程 序 卡... 71 系 统 手 册, 11/2009, A5E02486685-02 5

目 录 4 设 备 配 置...75 4.1 插 入 CPU...76 4.2 检 测 未 指 定 的 CPU 的 组 态...77 4.3 组 态 CPU 的 运 行...78 4.4 将 模 块 添 加 到 组 态...79 4.5 组 态 模 块 的 参 数...80 4.6 创 建 网 络 连 接...81 4.7 在 项 目 中 组 态 IP 地 址...82 5 编 程 概 念...85 5.1 设 计 PLC 系 统 的 指 南...85 5.2 构 建 用 户 程 序...86 5.3 使 用 块 来 构 建 程 序...87 5.3.1 组 织 块 (OB)...88 5.3.2 功 能 (FC)...90 5.3.3 功 能 块 (FB)...90 5.3.4 数 据 块 (DB)...92 5.4 了 解 数 据 一 致 性...92 5.5 选 择 编 程 语 言...93 5.6 复 制 保 护...95 5.7 下 载 程 序 的 元 素...95 5.8 上 传 程 序 的 元 素...96 5.9 调 试 和 测 试 程 序...97 6 编 写 指 令...99 6.1 基 本 指 令...99 6.1.1 位 逻 辑...99 6.1.1.1 置 位 和 复 位 指 令...102 6.1.1.2 上 升 沿 和 下 降 沿 指 令...104 6.1.2 定 时 器...106 6.1.3 计 数 器...110 6.1.3.1 计 数 器...110 6.1.3.2 CTRL_HSC 指 令...113 6.1.3.3 高 速 计 数 器 的 使 用 方 法...115 6.1.3.4 组 态 HSC...118 6.1.4 比 较...120 6.1.5 数 学...122 6.1.5.1 MOD 指 令...123 6 系 统 手 册, 11/2009, A5E02486685-02

目 录 6.1.6 移 动... 130 6.1.6.1 交 换 指 令... 133 6.1.7 转 换... 134 6.1.7.1 标 定 和 标 准 化 指 令... 136 6.1.8 程 序 控 制... 138 6.1.9 逻 辑 运 算... 139 6.1.10 移 位 和 循 环... 144 6.2 扩 展 指 令... 146 6.2.1 用 于 扩 展 指 令 的 常 见 错 误 参 数... 146 6.2.2 时 钟 和 日 历 指 令... 146 6.2.3 字 符 串 和 字 符 指 令... 151 6.2.3.1 String 数 据 概 述... 151 6.2.3.2 字 符 串 转 换 指 令... 152 6.2.3.3 字 符 串 操 作 指 令... 161 6.2.4 程 序 控 制 指 令... 168 6.2.4.1 复 位 扫 描 循 环 监 视 狗 指 令... 168 6.2.4.2 停 止 扫 描 循 环 指 令... 169 6.2.4.3 获 取 错 误 指 令... 170 6.2.5 通 信 指 令... 173 6.2.5.1 开 放 式 以 太 网 通 信... 173 6.2.5.2 点 对 点 指 令... 188 6.2.6 中 断 指 令... 189 6.2.6.1 附 加 和 分 离 指 令... 189 6.2.6.2 启 动 和 取 消 延 时 中 断 指 令... 192 6.2.6.3 禁 用 和 启 用 报 警 中 断 指 令... 194 6.2.7 PID 控 制... 195 6.2.8 运 动 控 制 指 令... 195 6.2.9 脉 冲 指 令... 197 6.2.9.1 CTRL_PWM 指 令... 197 6.3 全 局 库 指 令... 201 6.3.1 USS... 201 6.3.1.1 使 用 USS 协 议 的 要 求... 201 6.3.1.2 USS_DRV 指 令... 203 6.3.1.3 USS_PORT 指 令... 207 6.3.1.4 USS_RPM 指 令... 208 6.3.1.5 USS_WPM 指 令... 209 6.3.1.6 USS 状 态 代 码... 211 6.3.2 MODBUS... 212 6.3.2.1 MB_COMM_LOAD... 212 6.3.2.2 MB_MASTER... 215 6.3.2.3 MB_SLAVE... 230 系 统 手 册, 11/2009, A5E02486685-02 7

目 录 7 PROFINET... 241 7.1 与 编 程 设 备 通 信...242 7.1.1 建 立 硬 件 通 信 连 接...243 7.1.2 配 置 设 备...243 7.1.3 分 配 Internet 协 议 (IP) 地 址...244 7.1.3.1 为 编 程 设 备 和 网 络 设 备 分 配 IP 地 址...244 7.1.3.2 在 线 分 配 IP 地 址...247 7.1.3.3 在 项 目 中 组 态 IP 地 址...249 7.1.4 测 试 PROFINET 网 络...251 7.2 HMI 到 PLC 通 信...253 7.2.1 组 态 HMI 与 CPU 之 间 的 逻 辑 网 络 连 接...255 7.3 PLC 到 PLC 通 信...255 7.3.1 组 态 两 个 CPU 之 间 的 逻 辑 网 络 连 接...257 7.3.2 组 态 传 送 ( 发 送 ) 和 接 收 参 数...257 7.3.2.1 组 态 TSEND_C 指 令 传 送 ( 发 送 ) 参 数...258 7.3.2.2 组 态 TRCV_C 指 令 接 收 参 数...262 7.4 引 用 信 息...266 7.4.1 查 找 CPU 上 的 以 太 网 (MAC) 地 址...266 7.4.2 组 态 网 络 时 间 协 议 同 步...268 8 点 对 点 (PtP) 通 信... 271 8.1 使 用 RS232 和 RS485 通 信 模 块...271 8.2 组 态 通 信 端 口...272 8.3 管 理 流 控 制...273 8.4 组 态 传 送 ( 发 送 ) 和 接 收 参 数...275 8.5 设 计 PtP 通 信...282 8.5.1 轮 询 架 构...282 8.6 点 对 点 指 令...284 8.6.1 点 对 点 指 令 的 公 共 参 数...284 8.6.2 PORT_CFG 指 令...286 8.6.3 SEND_CFG 指 令...288 8.6.4 RCV_CFG 指 令...290 8.6.5 SEND_PTP 指 令...297 8.6.6 RCV_PTP 指 令...299 8.6.7 RCV_RST 指 令...301 8.6.8 SGN_GET 指 令...302 8.6.9 SGN_SET 指 令...303 8.7 错 误...304 8 系 统 手 册, 11/2009, A5E02486685-02

目 录 9 在 线 和 诊 断 工 具...309 9.1 状 态 LED... 309 9.2 转 到 在 线 并 连 接 到 CPU... 311 9.3 设 置 IP 地 址 和 日 时 钟... 312 9.4 在 线 CPU 的 CPU 操 作 员 面 板... 313 9.5 监 视 循 环 时 间 和 存 储 器 使 用 情 况... 313 9.6 显 示 CPU 中 的 诊 断 事 件... 313 9.7 用 于 监 视 用 户 程 序 的 监 视 表 格... 314 A 技 术 规 范...319 A.1 常 规 技 术 规 范... 319 A.2 CPU... 325 A.2.1 CPU 1211C 规 范... 325 A.2.2 CPU 1212C 规 范... 331 A.2.3 CPU 1214C 规 范... 338 A.3 数 字 信 号 模 块 (SM)... 345 A.3.1 SM 1221 数 字 输 入 规 范... 345 A.3.2 SM 1222 数 字 输 出 规 范... 347 A.3.3 SM 1223 数 字 输 入 / 输 出 规 范... 350 A.4 模 拟 信 号 模 块 (SM)... 353 A.4.1 SM 1231 SM 1232 SM 1234 模 拟 量 规 范... 353 A.5 信 号 板 (SB)... 363 A.5.1 SB 1223 2 X 24 VDC 输 入 /2 X 24 VDC 输 出 规 范... 363 A.5.2 SB 1232 1 路 模 拟 量 输 出 规 范... 366 A.6 通 信 模 块 (CM)... 367 A.6.1 CM 1241 RS485 规 范... 367 A.6.2 CM 1241 RS232 规 范... 369 A.7 SIMATIC 存 储 卡... 370 A.8 输 入 仿 真 器... 370 A.9 I/O 扩 展 电 缆... 372 B 计 算 功 率 预 算...373 B.1 功 率 要 求 计 算 实 例... 374 B.2 计 算 功 率 要 求... 376 C 订 货 号...377 索 引...381 系 统 手 册, 11/2009, A5E02486685-02 9

目 录 10 系 统 手 册, 11/2009, A5E02486685-02

产 品 概 述 1 1.1 S7-1200 PLC 简 介 S7-1200 可 编 程 逻 辑 控 制 器 (PLC, Programmable Logic Controller) 提 供 了 控 制 各 种 设 备 以 满 足 您 自 动 化 需 要 的 灵 活 性 和 强 大 功 能 S7-1200 设 计 紧 凑 组 态 灵 活 且 具 有 功 能 强 大 的 指 令 集, 这 些 特 点 的 组 合 使 它 成 为 控 制 各 种 应 用 的 完 美 解 决 方 案 CPU 将 微 处 理 器 集 成 电 源 输 入 电 路 和 输 出 电 路 组 合 到 一 个 设 计 紧 凑 的 外 壳 中 以 形 成 功 能 强 大 的 PLC 在 您 下 载 用 户 程 序 后,CPU 将 包 含 监 控 应 用 中 的 设 备 所 需 的 逻 辑 CPU 根 据 用 户 程 序 逻 辑 监 视 输 入 并 更 改 输 出, 用 户 程 序 可 以 包 含 布 尔 逻 辑 计 数 定 时 复 杂 数 学 运 算 以 及 与 其 它 智 能 设 备 的 通 信 有 多 种 安 全 功 能 可 用 于 保 护 对 CPU 和 控 制 程 序 的 访 问 : 每 个 CPU 都 提 供 密 码 保 护 功 能, 用 户 通 过 它 可 以 组 态 对 CPU 功 能 的 访 问 可 以 使 用 专 有 技 术 保 护 隐 藏 特 定 块 中 的 代 码 有 关 详 细 信 息, 请 参 见 编 程 概 念 ( 页 97) 一 章 CPU 提 供 一 个 PROFINET 端 口 用 于 通 过 PROFINET 网 络 通 信 还 可 使 用 通 信 模 块 通 过 RS485 或 RS232 网 络 通 信 1 2 电 源 接 口 可 拆 卸 用 户 接 线 连 接 器 ( 保 护 盖 下 面 ) 2 存 储 卡 插 槽 ( 上 部 保 护 盖 下 面 ) 3 板 载 I/O 的 状 态 LED 4 PROFINET 连 接 器 (CPU 的 底 部 ) 不 同 的 CPU 型 号 提 供 了 各 种 各 样 的 特 征 和 功 能, 这 些 特 征 和 功 能 可 帮 助 用 户 针 对 不 同 的 应 用 创 建 有 效 的 解 决 方 案 有 关 特 定 CPU 的 详 细 信 息, 请 参 见 技 术 规 范 ( 页 321) 系 统 手 册, 11/2009, A5E02486685-02 11

产 品 概 述 1.1 S7-1200 PLC 简 介 特 征 CPU 1211C CPU 1212C CPU 1214C 物 理 尺 寸 (mm) 90 x 100 x 75 110 x 100 x 75 用 户 存 储 器 工 作 存 储 器 25 KB 50 KB 装 载 存 储 器 1 MB 2 MB 保 持 性 存 储 器 2 KB 2 KB 本 地 板 载 I/O 数 字 量 6 点 输 入 /4 点 输 8 点 输 入 /6 点 输 出 14 点 输 入 /10 点 输 出 模 拟 量 出 2 路 输 入 2 路 输 入 2 路 输 入 过 程 映 像 大 小 1024 字 节 输 入 (I) 和 1024 字 节 输 出 (Q) 位 存 储 器 (M) 4096 个 字 节 8192 个 字 节 信 号 模 块 扩 展 无 2 8 信 号 板 1 通 信 模 块 3( 左 侧 扩 展 ) 高 速 计 数 器 3 4 6 单 相 3 个,100 khz 3 个,100 khz 3 个,100 khz 1 个,30 khz 3 个,30 khz 正 交 相 位 3 个,80 khz 3 个,80 khz 3 个,80 khz 1 个,20 khz 3 个,20 khz 脉 冲 输 出 2 存 储 卡 SIMATIC 存 储 卡 ( 选 件 ) 实 时 时 钟 保 持 时 间 通 常 为 10 天 /40 摄 氏 度 时 最 少 6 天 PROFINET 实 数 数 学 运 算 执 行 速 度 布 尔 运 算 执 行 速 度 1 个 以 太 网 通 信 端 口 18 μs/ 指 令 0.1 μs/ 指 令 12 系 统 手 册, 11/2009, A5E02486685-02

产 品 概 述 1.1 S7-1200 PLC 简 介 S7-1200 系 列 提 供 了 各 种 信 号 模 块 和 信 号 板 用 于 扩 展 CPU 的 能 力 还 可 以 安 装 附 加 的 通 信 模 块 以 支 持 其 它 通 信 协 议 有 关 特 定 模 块 的 详 细 信 息, 请 参 见 技 术 规 范 ( 页 321) 模 块 仅 输 入 仅 输 出 输 入 / 输 出 组 合 信 号 模 块 数 字 量 8 x DC 输 入 8 x DC 输 出 8 x DC 输 入 /8 x DC 输 出 (SM) 8 x 继 电 器 输 出 8 x DC 输 入 /8 x 继 电 器 输 出 16 x DC 输 入 16 x DC 输 出 16 x DC 输 入 /16 x DC 输 出 16 x 继 电 器 输 出 16 x DC 输 入 /16 x 继 电 器 输 出 模 拟 量 4 x 模 拟 量 输 2 x 模 拟 量 输 出 4 x 模 拟 量 输 入 /2 x 模 拟 量 入 4 x 模 拟 量 输 出 输 出 8 x 模 拟 量 输 入 信 号 板 (SB) 数 字 量 - - 2 x DC 输 入 /2 x DC 输 出 模 拟 量 - 1 x 模 拟 量 输 出 - 通 信 模 块 (CM) RS485 RS232 系 统 手 册, 11/2009, A5E02486685-02 13

产 品 概 述 1.2 信 号 板 1.2 信 号 板 通 过 信 号 板 (SB, Signal Board) 可 以 给 CPU 增 加 I/O 可 以 添 加 一 个 具 有 数 字 量 或 模 拟 量 I/O 的 SB SB 连 接 在 CPU 的 前 端 具 有 4 个 数 字 量 I/O(2 x DC 输 入 和 2 x DC 输 出 ) 的 SB 具 有 1 路 模 拟 量 输 出 的 SB 1 2 SB 上 的 状 态 LED 可 拆 卸 用 户 接 线 连 接 器 1.3 信 号 模 块 可 以 使 用 信 号 模 块 给 CPU 增 加 附 加 功 能 信 号 模 块 连 接 在 CPU 右 侧 1 2 3 信 号 模 块 的 I/O 的 状 态 LED 总 线 连 接 器 可 拆 卸 用 户 接 线 连 接 器 14 系 统 手 册, 11/2009, A5E02486685-02

产 品 概 述 1.4 通 信 模 块 1.4 通 信 模 块 S7-1200 系 列 提 供 了 给 系 统 增 加 附 加 功 能 的 通 信 模 块 (CM, Communication Module) 有 两 种 通 信 模 块 : RS232 和 RS485 CPU 最 多 支 持 3 个 通 信 模 块 各 CM 连 接 在 CPU 的 左 侧 ( 或 连 接 到 另 一 CM 的 左 侧 ) 1 2 通 信 模 块 的 状 态 LED 通 信 连 接 器 1.5 STEP 7 Basic STEP 7 Basic 软 件 提 供 了 一 个 用 户 友 好 的 环 境, 供 用 户 开 发 编 辑 和 监 视 控 制 应 用 所 需 的 逻 辑, 其 中 包 括 用 于 管 理 和 组 态 项 目 中 所 有 设 备 ( 例 如 PLC 和 HMI 等 设 备 ) 的 工 具 STEP 7 Basic 提 供 了 两 种 编 程 语 言 (LAD 和 FBD) 用 于 方 便 高 效 地 开 发 适 合 用 户 具 体 应 用 的 控 制 程 序, 而 且 还 提 供 了 用 于 在 项 目 中 创 建 和 组 态 HMI 设 备 的 工 具 为 了 帮 助 用 户 查 找 需 要 的 信 息,STEP 7 Basic 提 供 了 内 容 丰 富 的 在 线 帮 助 系 统 要 安 装 STEP 7 Basic, 请 将 CD 插 入 计 算 机 的 CD-ROM 驱 动 器 中 安 装 向 导 自 动 启 动 并 在 整 个 安 装 过 程 中 给 出 提 示 有 关 详 细 信 息, 可 参 考 自 述 文 件 说 明 要 在 运 行 Windows 2000 Windows XP 或 Windows Vista 操 作 系 统 的 PC 上 安 装 STEP 7 Basic 软 件, 必 须 以 管 理 员 权 限 登 录 系 统 手 册, 11/2009, A5E02486685-02 15

产 品 概 述 1.5 STEP 7 Basic 1.5.1 使 工 作 更 轻 松 的 不 同 视 图 为 了 帮 助 用 户 提 高 生 产 率, 全 集 成 自 动 化 门 户 提 供 了 两 种 不 同 的 工 具 集 视 图 : 根 据 工 具 功 能 组 织 的 面 向 任 务 的 门 户 集 ( 门 户 视 图 ), 或 项 目 中 各 元 素 组 成 的 面 向 项 目 的 视 图 ( 项 目 视 图 ) 请 选 择 能 让 您 的 工 作 最 高 效 的 视 图 只 需 通 过 单 击 就 可 以 切 换 门 户 视 图 和 项 目 视 图 门 户 视 图 提 供 项 目 任 务 的 功 能 视 图 并 根 据 要 完 成 的 任 务 ( 例 如, 创 建 硬 件 组 件 和 网 络 的 组 态 ) 组 织 工 具 的 功 能 用 户 可 以 很 容 易 地 确 定 如 何 继 续 以 及 选 择 哪 个 任 务 项 目 视 图 提 供 了 访 问 项 目 中 任 意 组 件 的 途 径 由 于 这 些 组 件 组 织 在 一 个 视 图 中, 所 以 您 可 以 方 便 地 访 问 项 目 的 各 个 方 面 项 目 包 含 已 创 建 或 已 完 成 的 所 有 元 素 16 系 统 手 册, 11/2009, A5E02486685-02

产 品 概 述 1.5 STEP 7 Basic 1.5.2 在 您 需 要 时 提 供 的 帮 助 快 速 查 找 问 题 答 案 为 了 帮 助 用 户 快 速 高 效 地 解 决 问 题,STEP 7 Basic 提 供 了 智 能 的 需 求 点 帮 助 : 输 入 域 提 供 弹 出 式 帮 助 以 帮 助 用 户 输 入 适 合 该 域 的 正 确 信 息 ( 有 效 的 范 围 和 数 据 类 型 ) 例 如, 如 果 输 入 无 效 值, 则 将 弹 出 一 个 消 息 文 本 框 来 提 供 有 效 值 的 范 围 界 面 中 的 某 些 工 具 提 示 ( 例 如, 指 令 的 工 具 提 示 ) 通 过 层 叠 提 供 更 多 信 息 一 些 层 叠 工 具 提 示 会 链 接 到 在 线 信 息 系 统 ( 在 线 帮 助 ) 中 的 特 定 主 题 此 外,STEP 7 Basic 还 具 有 丰 富 全 面 的 信 息 系 统, 完 整 介 绍 了 SIMATIC 工 具 的 功 能 弹 出 式 帮 助 和 层 叠 工 具 提 示 各 种 对 话 框 和 任 务 卡 的 输 入 域 以 消 息 框 的 形 式 提 供 反 馈, 这 些 消 息 框 会 弹 出 并 给 出 所 需 的 数 据 范 围 或 类 型 软 件 界 面 上 的 元 素 提 供 工 具 提 示 来 说 明 元 素 的 功 能 一 些 元 素 ( 例 如, 打 开 或 保 存 图 标 ) 不 需 要 更 多 信 息 但 有 些 元 素 提 供 了 可 显 示 元 素 附 加 描 述 的 机 制 该 附 加 信 息 层 叠 在 来 自 工 具 提 示 的 框 中 ( 工 具 提 示 旁 的 黑 色 三 角 形 表 示 有 更 多 信 息 ) 将 光 标 悬 停 在 软 件 界 面 的 元 素 上 会 显 示 工 具 提 示 要 显 示 附 加 信 息, 只 需 将 光 标 悬 停 在 工 具 提 示 上 一 些 层 叠 工 具 提 示 还 提 供 了 指 向 信 息 系 统 中 相 关 主 题 的 链 接 单 击 链 接 将 显 示 具 体 的 主 题 信 息 系 统 STEP 7 Basic 提 供 了 丰 富 全 面 的 在 线 信 息 和 帮 助 系 统, 该 系 统 介 绍 了 用 户 已 安 装 的 所 有 SIMATIC 产 品 该 信 息 系 统 还 包 含 参 考 信 息 和 实 例 要 显 示 该 信 息 系 统, 请 从 以 下 访 问 点 进 行 选 择 : 从 门 户 视 图, 选 择 起 始 门 户 并 单 击 帮 助 (Help) 命 令 从 项 目 视 图, 在 帮 助 (Help) 菜 单 中 选 择 显 示 帮 助 (Show help) 命 令 从 层 叠 工 具 提 示, 单 击 链 接 以 显 示 相 应 主 题 的 更 多 信 息 该 信 息 系 统 会 在 一 个 不 会 遮 挡 工 作 区 域 的 窗 口 中 打 开 系 统 手 册, 11/2009, A5E02486685-02 17

产 品 概 述 1.5 STEP 7 Basic 单 击 信 息 系 统 中 的 显 示 / 隐 藏 目 录 按 钮 可 显 示 目 录 和 移 除 帮 助 窗 口 随 后 可 以 调 整 帮 助 窗 口 的 大 小 使 用 目 录 (Contents) 或 索 引 (Index) 选 项 卡 可 以 按 主 题 或 关 键 字 搜 索 整 个 信 息 系 统 帮 助 窗 口 ( 默 认 ) 显 示 有 目 录 的 帮 助 窗 口 说 明 如 果 STEP 7 Basic 已 最 大 化, 则 单 击 显 示 / 隐 藏 目 录 按 钮 将 不 会 移 除 帮 助 窗 口 单 击 向 下 恢 复 按 钮 可 移 除 帮 助 窗 口 随 后 可 以 移 动 和 调 整 帮 助 窗 口 的 大 小 18 系 统 手 册, 11/2009, A5E02486685-02

产 品 概 述 1.5 STEP 7 Basic 从 信 息 系 统 中 打 印 主 题 要 从 信 息 系 统 中 打 印, 请 单 击 帮 助 窗 口 中 的 打 印 (Print) 按 钮 要 从 信 息 系 统 中 打 印, 请 单 击 帮 助 窗 口 中 的 打 印 (Print) 按 钮 通 过 打 印 (Print) 对 话 框 可 以 选 择 要 打 印 的 主 题 确 保 面 板 显 示 了 主 题 然 后 可 以 选 择 任 何 要 打 印 的 其 它 主 题 单 击 打 印 (Print) 按 钮 将 所 选 主 题 发 送 到 打 印 机 系 统 手 册, 11/2009, A5E02486685-02 19

产 品 概 述 1.6 显 示 面 板 1.6 显 示 面 板 由 于 可 视 化 已 成 为 大 多 数 机 器 设 计 的 标 准 组 件, 所 以 SIMATIC HMI 基 本 型 面 板 提 供 了 用 于 执 行 基 本 操 作 员 监 控 任 务 的 触 摸 屏 设 备 所 有 面 板 的 保 护 等 级 均 为 IP65 并 通 过 CE UL culus 和 NEMA 4x 认 证 KTP 400 Basic PN 单 色 (STN, 灰 度 ) 4" 触 摸 屏, 带 4 个 触 摸 键 纵 向 或 横 向 尺 寸 :3.8" 分 辨 率 :320 x 240 128 个 变 量 50 个 过 程 画 面 200 个 报 警 25 条 曲 线 32 KB 配 方 存 储 器 5 个 配 方,20 条 数 据 记 录,20 个 条 目 KTP 600 Basic PN 彩 色 (TFT,256 色 ) 或 单 色 (STN, 灰 度 ) 6" 触 摸 屏, 带 6 个 触 摸 键 纵 向 或 横 向 尺 寸 :5.7" 分 辨 率 :320 x 240 128 个 变 量 50 个 过 程 画 面 200 个 报 警 25 条 曲 线 32 KB 配 方 存 储 器 5 个 配 方,20 条 数 据 记 录,20 个 条 目 KTP1000 Basic PN 彩 色 (TFT,256 色 ) 10" 触 摸 屏, 带 8 个 触 摸 键 尺 寸 :10.4" 分 辨 率 : 640 x 480 256 个 变 量 50 个 过 程 画 面 200 个 报 警 25 条 曲 线 32 KB 配 方 存 储 器 5 个 配 方,20 条 数 据 记 录,20 个 条 目 20 系 统 手 册, 11/2009, A5E02486685-02

产 品 概 述 1.6 显 示 面 板 TP1500 Basic PN 彩 色 (TFT,256 色 ) 15" 触 摸 屏 尺 寸 :15.1" 分 辨 率 : 1024 x 768 256 个 变 量 50 个 过 程 画 面 200 个 报 警 25 条 曲 线 32 KB 配 方 存 储 器 ( 集 成 闪 存 ) 5 个 配 方,20 条 数 据 记 录,20 个 条 目 系 统 手 册, 11/2009, A5E02486685-02 21

产 品 概 述 1.6 显 示 面 板 22 系 统 手 册, 11/2009, A5E02486685-02

安 装 2 S7-1200 设 备 设 计 得 易 于 安 装 可 以 将 S7-1200 安 装 在 面 板 或 标 准 导 轨 上, 并 且 可 以 水 平 或 垂 直 安 装 S7-1200 S7-1200 尺 寸 较 小, 用 户 可 以 有 效 地 利 用 空 间 警 告 SIMATIC S7-1200 PLC 是 敞 开 式 控 制 器 需 要 将 S7-1200 安 装 在 外 壳 控 制 柜 或 电 控 室 内 仅 限 获 得 授 权 的 人 员 能 打 开 外 壳 控 制 柜 或 进 入 电 控 室 不 遵 守 这 些 安 装 要 求 可 能 会 导 致 死 亡 人 员 重 伤 和 / 或 财 产 损 失 安 装 S7-1200 PLC 时 务 必 遵 守 这 些 要 求 将 S7-1200 设 备 与 热 辐 射 高 压 和 电 噪 声 隔 离 开 作 为 布 置 系 统 中 各 种 设 备 的 基 本 规 则, 必 须 将 产 生 高 压 和 高 电 噪 声 的 设 备 与 S7-1200 等 低 压 逻 辑 型 设 备 隔 离 开 在 面 板 上 配 置 S7-1200 的 布 局 时, 请 考 虑 发 热 设 备 并 将 电 子 式 设 备 布 置 在 控 制 柜 中 较 凉 爽 区 域 少 暴 露 在 高 温 环 境 中 会 延 长 所 有 电 子 设 备 的 使 用 寿 命 另 外 还 要 考 虑 面 板 中 设 备 的 布 线 避 免 将 低 压 信 号 线 和 通 信 电 缆 铺 设 在 具 有 交 流 动 力 线 和 高 能 量 快 速 开 关 直 流 线 的 槽 中 留 出 足 够 的 空 隙 以 便 冷 却 和 接 线 S7-1200 被 设 计 成 通 过 自 然 对 流 冷 却 为 保 证 适 当 冷 却, 在 设 备 上 方 和 下 方 必 须 留 出 至 少 25 mm 的 空 隙 此 外, 模 块 前 端 与 机 柜 内 壁 间 至 少 应 留 出 25 mm 的 深 度 小 心 垂 直 安 装 时, 允 许 的 最 大 环 境 温 度 将 降 低 10 摄 氏 度 请 调 整 垂 直 安 装 的 S7-1200 系 统 的 方 位 使 CPU 处 于 低 端 系 统 手 册, 11/2009, A5E02486685-02 23

安 装 规 划 S7-1200 系 统 的 布 局 时, 应 留 出 足 够 的 空 隙 以 方 便 接 线 和 通 信 电 缆 连 接 1 侧 视 图 3 垂 直 安 装 2 水 平 安 装 4 空 隙 区 域 功 率 预 算 CPU 有 一 个 内 部 电 源, 用 于 为 CPU 信 号 模 块 信 号 板 和 通 信 模 块 供 电 以 及 用 于 满 足 其 它 24 VDC 用 户 的 功 率 要 求 有 关 CPU 所 提 供 的 5 VDC 逻 辑 预 算 和 信 号 模 块 信 号 板 和 通 信 模 块 的 5 VDC 功 率 要 求 的 信 息, 请 参 考 技 术 规 范 ( 页 321) 请 参 考 计 算 功 率 预 算 ( 页 375) 来 确 定 CPU 可 以 为 您 的 配 置 提 供 多 少 电 能 ( 或 电 流 ) CPU 提 供 24 VDC 传 感 器 电 源, 该 电 源 可 以 为 输 入 点 信 号 模 块 上 的 继 电 器 线 圈 电 源 或 其 它 要 求 供 给 24 VDC 如 果 您 的 24 VDC 功 率 要 求 超 出 该 传 感 器 电 源 的 预 算, 则 必 须 给 系 统 增 加 外 部 24 VDC 电 源 有 关 具 体 S7-1200 CPU 的 24 VDC 传 感 器 电 源 功 率 预 算, 请 参 考 技 术 规 范 ( 页 321) 如 果 需 要 外 部 24 VDC 电 源, 请 确 保 该 电 源 不 要 与 CPU 的 传 感 器 电 源 并 联 为 提 高 电 噪 声 防 护 能 力, 建 议 连 接 不 同 电 源 的 公 共 端 (M) 24 系 统 手 册, 11/2009, A5E02486685-02

安 装 警 告 将 外 部 24 VDC 电 源 与 24 VDC 传 感 器 电 源 并 联 会 导 致 这 两 个 电 源 之 间 有 冲 突, 因 为 每 个 电 源 都 试 图 建 立 自 己 首 选 的 输 出 电 压 电 平 该 冲 突 可 能 使 其 中 一 个 电 源 或 两 个 电 源 的 寿 命 缩 短 或 立 即 出 现 故 障, 从 而 导 致 PLC 系 统 的 运 行 不 确 定 运 行 不 确 定 可 能 导 致 死 亡 人 员 重 伤 和 / 或 财 产 损 失 DC 传 感 器 电 源 和 任 何 外 部 电 源 应 分 别 给 不 同 位 置 供 电 S7-1200 系 统 中 的 一 些 24 VDC 电 源 输 入 端 口 是 互 连 的, 并 且 通 过 一 个 公 共 逻 辑 电 路 连 接 多 个 M 端 子 例 如, 在 数 据 表 中 指 定 为 非 隔 离 时, 以 下 电 路 是 互 连 的 : CPU 的 24 VDC 电 源 SM 的 继 电 器 线 圈 的 电 源 输 入 或 非 隔 离 模 拟 输 入 的 电 源 所 有 非 隔 离 的 M 端 子 必 须 连 接 到 同 一 个 外 部 参 考 电 位 警 告 将 非 隔 离 的 M 端 子 连 接 到 不 同 参 考 电 位 将 导 致 意 外 的 电 流, 该 电 流 可 能 导 致 PLC 和 任 何 连 接 设 备 损 坏 或 运 行 不 确 定 不 遵 守 这 些 准 则 可 能 会 导 致 设 备 损 坏 或 运 行 不 确 定, 而 后 者 可 能 导 致 死 亡 人 员 重 伤 和 / 或 财 产 损 失 务 必 确 保 S7-1200 系 统 中 的 所 有 非 隔 离 M 端 子 都 连 接 到 同 一 个 参 考 电 位 系 统 手 册, 11/2009, A5E02486685-02 25

安 装 2.2 安 装 和 拆 卸 步 骤 2.2 安 装 和 拆 卸 步 骤 安 装 尺 寸 (mm) S7-1200 设 备 宽 度 A 宽 度 B CPU: CPU 1211C 和 CPU 1212C 90 mm 45 mm CPU 1214C 110 mm 55 mm 信 号 模 块 : 8 和 16 点 DC 和 继 电 器 型 (8I 16I 8Q 16Q 8I/8Q) 模 拟 量 (4AI 8AI 4AI/4AQ 2AQ 4AQ) 45 mm 22.5 mm 16I/16Q 继 电 器 型 (16I/16Q) 70 mm 35 mm 通 信 模 块 : CM 1241 RS232 和 CM 1241 RS485 30 mm 15 mm CPU SM 和 CM 支 持 DIN 导 轨 安 装 和 面 板 安 装 使 用 模 块 上 的 DIN 导 轨 卡 夹 将 设 备 固 定 到 导 轨 上 这 些 卡 夹 还 能 掰 到 一 个 伸 出 位 置 以 提 供 将 设 备 直 接 安 装 到 面 板 上 的 螺 钉 安 装 位 置 设 备 上 DIN 卡 夹 的 孔 内 部 尺 寸 是 4.3 mm 必 须 在 设 备 的 上 方 和 下 方 留 出 25 mm 的 发 热 区 以 便 空 气 自 由 流 通 26 系 统 手 册, 11/2009, A5E02486685-02

安 装 2.2 安 装 和 拆 卸 步 骤 安 装 和 拆 卸 S7-1200 设 备 CPU 可 以 很 方 便 地 安 装 到 标 准 DIN 导 轨 或 面 板 上 可 使 用 DIN 导 轨 卡 夹 将 设 备 固 定 到 DIN 导 轨 上 这 些 卡 夹 还 能 掰 到 一 个 伸 出 位 置 以 提 供 设 备 面 板 安 装 时 所 用 的 螺 钉 安 装 位 置 1 DIN 导 轨 安 装 3 面 板 安 装 2 DIN 导 轨 卡 夹 处 于 锁 紧 位 置 4 卡 夹 处 于 伸 出 位 置 用 于 面 板 安 装 在 安 装 或 拆 卸 任 何 电 气 设 备 之 前, 请 确 保 已 关 闭 相 应 设 备 的 电 源 同 时, 还 要 确 保 已 关 闭 所 有 相 关 设 备 的 电 源 警 告 安 装 或 拆 卸 已 上 电 的 S7-1200 或 相 关 设 备 可 能 会 导 致 电 击 或 意 外 设 备 操 作 如 果 在 安 装 或 拆 卸 过 程 中 没 有 断 开 S7-1200 或 相 关 设 备 的 所 有 电 源, 则 可 能 会 由 于 电 击 或 意 外 设 备 操 作 而 导 致 死 亡 人 员 重 伤 和 / 或 财 产 损 失 务 必 遵 守 适 当 的 安 全 预 防 措 施, 确 保 在 尝 试 安 装 或 拆 卸 S7-1200 CPU 或 相 关 设 备 前 断 开 S7-1200 的 电 源 务 必 确 保 无 论 何 时 更 换 或 安 装 S7-1200 设 备, 都 使 用 正 确 的 模 块 或 同 等 设 备 警 告 S7-1200 模 块 安 装 不 当 可 能 导 致 S7-1200 中 的 程 序 工 作 异 常 如 果 不 是 用 相 同 型 号 方 向 或 顺 序 来 更 换 S7-1200 设 备, 则 可 能 会 由 于 意 外 设 备 操 作 而 导 致 死 亡 人 员 重 伤 和 / 或 财 产 损 失 请 使 用 相 同 型 号 的 设 备 来 更 换 S7-1200 设 备, 并 确 保 设 备 的 方 向 和 位 置 放 置 正 确 系 统 手 册, 11/2009, A5E02486685-02 27

安 装 2.2 安 装 和 拆 卸 步 骤 2.2.1 安 装 和 拆 卸 CPU 安 装 可 以 将 CPU 安 装 到 DIN 导 轨 或 面 板 上 说 明 将 全 部 通 信 模 块 连 接 到 CPU 上, 然 后 将 该 组 件 作 为 一 个 单 元 来 安 装 在 安 装 CPU 之 后 分 别 安 装 信 号 模 块 要 将 CPU 安 装 到 面 板 上, 请 按 以 下 步 骤 操 作 : 1. 按 照 安 装 尺 寸 图 所 示 的 尺 寸, 执 行 定 位 钻 孔 和 攻 丝 以 准 备 安 装 孔 (M4 或 美 国 标 准 8 号 ) 2. 从 模 块 上 掰 出 安 装 卡 夹 确 保 CPU 上 部 和 下 部 的 DIN 导 轨 卡 夹 都 处 于 伸 出 位 置 3. 使 用 放 到 卡 夹 中 的 螺 钉 将 模 块 固 定 到 面 板 上 说 明 如 果 系 统 处 在 多 振 动 环 境 或 采 用 垂 直 安 装, 则 通 过 面 板 安 装 S7-1200 将 能 提 供 更 高 的 防 护 等 级 要 将 CPU 安 装 到 DIN 导 轨 上, 请 按 以 下 步 骤 操 作 : 1. 安 装 DIN 导 轨 每 隔 75 mm 将 导 轨 固 定 到 安 装 板 上 2. 将 CPU 挂 到 DIN 导 轨 上 方 3. 拉 出 CPU 下 方 的 DIN 导 轨 卡 夹 以 便 能 将 CPU 安 装 到 导 轨 上 4. 向 下 转 动 CPU 使 其 在 导 轨 上 就 位 5. 推 入 卡 夹 将 CPU 锁 定 到 导 轨 上 28 系 统 手 册, 11/2009, A5E02486685-02

安 装 2.2 安 装 和 拆 卸 步 骤 拆 卸 若 要 准 备 拆 卸 CPU, 请 断 开 CPU 的 电 源 及 其 I/O 连 接 器 接 线 或 电 缆 将 CPU 和 所 有 相 连 的 通 信 模 块 作 为 一 个 完 整 单 元 拆 卸 所 有 信 号 模 块 应 保 持 安 装 状 态 如 果 信 号 模 块 已 连 接 到 CPU, 则 需 要 缩 回 总 线 连 接 器 : 1. 将 螺 丝 刀 放 到 信 号 模 块 上 方 的 小 接 头 旁 2. 向 下 按 使 连 接 器 与 CPU 相 分 离 3. 将 小 接 头 完 全 滑 到 右 侧 卸 下 CPU: 1. 拉 出 DIN 导 轨 卡 夹 从 导 轨 上 松 开 CPU 2. 向 上 转 动 CPU 使 其 脱 离 导 轨, 然 后 从 系 统 中 卸 下 CPU 2.2.2 安 装 和 拆 卸 信 号 模 块 安 装 在 安 装 CPU 之 后 安 装 SM 卸 下 CPU 右 侧 的 连 接 器 盖 将 螺 丝 刀 插 入 盖 上 方 的 插 槽 中 将 其 上 方 的 盖 轻 轻 撬 出 并 卸 下 盖 收 好 盖 以 备 再 次 使 用 系 统 手 册, 11/2009, A5E02486685-02 29

安 装 2.2 安 装 和 拆 卸 步 骤 将 SM 装 在 CPU 旁 边 1. 将 SM 挂 到 DIN 导 轨 上 方 2. 拉 出 下 方 的 DIN 导 轨 卡 夹 以 便 将 SM 安 装 到 导 轨 上 3. 向 下 转 动 CPU 旁 的 SM 使 其 就 位 并 推 入 下 方 的 卡 夹 将 SM 锁 定 到 导 轨 上 伸 出 总 线 连 接 器 1. 将 螺 丝 刀 放 到 SM 上 方 的 小 接 头 旁 2. 将 小 接 头 滑 到 最 左 侧, 使 总 线 连 接 器 伸 到 CPU 中 伸 出 总 线 连 接 器 即 为 SM 建 立 了 机 械 和 电 气 连 接 要 接 着 信 号 模 块 再 安 装 信 号 模 块, 请 按 照 相 同 的 步 骤 操 作 拆 卸 可 以 在 不 卸 下 CPU 或 其 它 SM 处 于 原 位 时 卸 下 任 何 SM 若 要 准 备 拆 卸 SM, 请 断 开 CPU 的 电 源 并 卸 下 SM 的 I/O 连 接 器 和 接 线 缩 回 总 线 连 接 器 1. 将 螺 丝 刀 放 到 SM 上 方 的 小 接 头 旁 2. 向 下 按 使 连 接 器 与 CPU 相 分 离 3. 将 小 接 头 完 全 滑 到 右 侧 如 果 右 侧 还 有 SM, 则 对 该 SM 重 复 该 步 骤 卸 下 SM: 1. 拉 出 下 方 的 DIN 导 轨 卡 夹 从 导 轨 上 松 开 SM 2. 向 上 转 动 SM 使 其 脱 离 导 轨 从 系 统 中 卸 下 SM 3. 如 有 必 要, 用 盖 子 盖 上 CPU 的 总 线 连 接 器 以 避 免 污 染 要 拆 除 信 号 模 块 旁 的 信 号 模 块, 请 按 照 相 同 的 步 骤 操 作 30 系 统 手 册, 11/2009, A5E02486685-02

安 装 2.2 安 装 和 拆 卸 步 骤 2.2.3 安 装 和 拆 卸 通 信 模 块 安 装 请 首 先 将 CM 连 接 到 CPU 上, 然 后 再 将 整 个 组 件 作 为 一 个 单 元 安 装 到 DIN 导 轨 或 面 板 上 卸 下 CPU 左 侧 的 总 线 盖 : 1. 将 螺 丝 刀 插 入 总 线 盖 上 方 的 插 槽 中 2. 轻 轻 撬 出 上 方 的 盖 卸 下 总 线 盖 收 好 盖 以 备 再 次 使 用 连 接 单 元 : 1. 使 CM 的 总 线 连 接 器 和 接 线 柱 与 CPU 上 的 孔 对 齐 2. 用 力 将 两 个 单 元 压 在 一 起 直 到 接 线 柱 卡 入 到 位 将 该 组 合 单 元 安 装 到 DIN 导 轨 或 面 板 上 1. 若 是 DIN 导 轨 安 装, 确 保 CPU 和 相 连 CM 的 上 部 DIN 导 轨 卡 夹 处 于 锁 紧 ( 内 部 ) 位 置 而 下 部 DIN 导 轨 卡 夹 处 于 伸 出 位 置 2. 如 安 装 和 拆 卸 CPU ( 页 28) 中 所 示 安 装 CPU 与 相 连 的 CM 3. 将 设 备 安 装 到 DIN 导 轨 上 后, 将 下 部 DIN 导 轨 卡 夹 推 到 锁 紧 位 置 以 将 设 备 锁 定 在 DIN 导 轨 上 若 是 面 板 安 装, 确 保 将 DIN 导 轨 卡 夹 推 到 伸 出 位 置 系 统 手 册, 11/2009, A5E02486685-02 31

安 装 2.2 安 装 和 拆 卸 步 骤 拆 卸 将 CPU 和 CM 作 为 一 个 完 整 单 元 从 DIN 导 轨 或 面 板 上 卸 下 准 备 拆 卸 CM 1. 断 开 CPU 的 电 源 2. 拆 除 CPU 和 CM 上 的 I/O 连 接 器 和 所 有 接 线 及 电 缆 3. 对 于 DIN 导 轨 安 装, 将 CPU 和 CM 上 的 下 部 DIN 导 轨 卡 夹 掰 到 伸 出 位 置 4. 从 DIN 导 轨 或 面 板 上 卸 下 CPU 和 CM 卸 下 CM 1. 用 力 抓 住 CPU 和 CM 2. 将 它 们 分 开 请 不 要 使 用 工 具 来 分 离 这 两 个 模 块, 因 为 这 可 能 会 损 坏 单 元 2.2.4 安 装 和 拆 卸 信 号 板 安 装 通 过 断 开 CPU 的 电 源 并 卸 下 CPU 上 部 和 下 部 的 端 子 板 盖 子, 准 备 给 CPU 安 装 SB 要 安 装 SB, 请 按 以 下 步 骤 操 作 : 1. 将 螺 丝 刀 插 入 CPU 上 部 接 线 盒 盖 背 面 的 槽 中 2. 轻 轻 将 盖 撬 起 并 从 CPU 上 卸 下 3. 将 SB 直 接 向 下 放 入 CPU 上 部 的 安 装 位 置 中 4. 用 力 将 SB 压 入 该 位 置 直 到 卡 入 就 位 5. 重 新 装 上 端 子 板 盖 子 32 系 统 手 册, 11/2009, A5E02486685-02

安 装 2.2 安 装 和 拆 卸 步 骤 拆 卸 通 过 断 开 CPU 的 电 源 并 卸 下 CPU 上 部 和 下 部 的 端 子 板 盖 子, 准 备 从 CPU 上 卸 下 SB 要 卸 下 SB, 请 按 以 下 步 骤 操 作 : 1. 将 螺 丝 刀 插 入 SM 上 部 的 槽 中 2. 轻 轻 将 SB 撬 起 使 其 与 CPU 分 离 3. 将 SB 直 接 从 CPU 上 部 的 安 装 位 置 中 取 出 4. 重 新 装 上 SB 盖 5. 重 新 装 上 端 子 板 盖 子 2.2.5 拆 卸 和 重 新 安 装 S7-1200 端 子 板 连 接 器 CPU SB 和 SM 模 块 提 供 了 方 便 接 线 的 可 拆 卸 连 接 器 从 系 统 中 拆 卸 端 子 板 连 接 器 的 准 备 工 作 : 断 开 CPU 的 电 源 打 开 连 接 器 上 方 的 盖 子 要 卸 下 连 接 器, 请 按 以 下 步 骤 操 作 : 1. 查 看 连 接 器 的 顶 部 并 找 到 可 插 入 螺 丝 刀 头 的 槽 2. 将 螺 丝 刀 插 入 槽 中 3. 轻 轻 撬 起 连 接 器 顶 部 使 其 与 CPU 分 离 连 接 器 从 夹 紧 位 置 脱 离 4. 抓 住 连 接 器 并 将 其 从 CPU 上 卸 下 系 统 手 册, 11/2009, A5E02486685-02 33

安 装 2.3 接 线 准 则 要 安 装 连 接 器, 请 按 以 下 步 骤 操 作 : 1. 通 过 断 开 CPU 的 电 源 并 打 开 端 子 板 的 盖 子, 准 备 端 子 板 安 装 的 组 件 2. 使 连 接 器 与 单 元 上 的 插 针 对 齐 3. 将 连 接 器 的 接 线 边 对 准 连 接 器 座 沿 的 内 侧 4. 用 力 按 下 并 转 动 连 接 器 直 到 卡 入 到 位 仔 细 检 查 以 确 保 连 接 器 已 正 确 对 齐 并 完 全 啮 合 2.3 接 线 准 则 所 有 电 气 设 备 的 正 确 接 地 和 接 线 非 常 重 要, 因 为 这 有 助 于 确 保 实 现 最 佳 系 统 运 行 以 及 为 您 的 应 用 和 S7-1200 提 供 更 好 的 电 噪 声 防 护 请 参 考 技 术 规 范 ( 页 321) 以 查 看 S7-1200 的 接 线 图 先 决 条 件 在 对 任 何 电 气 设 备 进 行 接 地 或 者 接 线 之 前, 请 确 保 设 备 的 电 源 已 经 断 开 同 时, 还 要 确 保 已 关 闭 所 有 相 关 设 备 的 电 源 确 保 在 对 S7-1200 和 相 关 设 备 接 线 时 遵 守 所 有 适 用 的 电 气 规 程 请 根 据 所 有 适 用 的 国 家 和 地 方 标 准 来 安 装 和 操 作 所 有 设 备 请 联 系 当 地 的 管 理 机 构 确 定 哪 些 规 范 和 标 准 适 用 于 您 的 具 体 情 况 警 告 安 装 已 上 电 的 S7-1200 或 相 关 设 备 或 者 为 这 些 设 备 接 线 可 能 会 导 致 电 击 或 意 外 设 备 操 作 如 果 在 安 装 或 拆 卸 过 程 中 没 有 断 开 S7-1200 或 相 关 设 备 的 所 有 电 源, 则 可 能 会 由 于 电 击 或 意 外 设 备 操 作 而 导 致 死 亡 人 员 重 伤 和 / 或 财 产 损 失 务 必 遵 守 适 当 的 安 全 预 防 措 施, 确 保 在 尝 试 安 装 或 拆 卸 S7-1200 或 相 关 设 备 前 断 开 S7-1200 的 电 源 在 您 规 划 S7-1200 系 统 的 接 地 和 接 线 时, 务 必 考 虑 安 全 问 题 电 子 控 制 设 备 ( 如 S7-1200) 可 能 会 失 灵 和 导 致 正 在 控 制 或 监 视 的 设 备 出 现 意 外 操 作 因 此, 应 采 取 一 些 独 立 于 S7-1200 的 安 全 措 施 以 防 止 可 能 的 人 员 受 伤 或 设 备 损 坏 34 系 统 手 册, 11/2009, A5E02486685-02

安 装 2.3 接 线 准 则 警 告 控 制 设 备 在 不 安 全 情 况 下 运 行 时 可 能 会 出 现 故 障, 从 而 导 致 受 控 设 备 的 意 外 操 作 这 种 意 外 操 作 可 能 会 导 致 死 亡 人 员 重 伤 和 / 或 财 产 损 失 应 使 用 紧 急 停 止 功 能 机 电 超 控 功 能 或 其 它 独 立 于 S7-1200 的 冗 余 安 全 功 能 绝 缘 准 则 S7-1200 交 流 电 源 和 I/O 与 交 流 电 路 的 边 界 经 过 设 计, 经 验 证 可 以 在 交 流 线 路 电 压 与 低 压 电 路 之 间 实 现 安 全 隔 离 根 据 各 种 适 用 的 标 准, 这 些 边 界 包 括 双 重 或 加 强 绝 缘, 或 者 基 本 绝 缘 加 辅 助 绝 缘 跨 过 这 些 边 界 的 组 件 ( 例 如, 光 耦 合 器 电 容 器 变 压 器 和 继 电 器 ) 已 通 过 安 全 隔 离 认 证 满 足 这 些 要 求 的 绝 缘 边 界 在 S7-1200 产 品 数 据 页 中 被 标 识 为 具 有 1500 VAC 或 更 高 的 绝 缘 度 该 标 识 是 通 过 准 许 的 方 法 采 用 (2Ue + 1000 VAC) 或 等 效 电 压 进 行 常 规 工 厂 测 试 得 来 的 S7-1200 的 安 全 隔 离 边 界 已 通 过 高 达 4242 VDC 的 典 型 试 验 根 据 EN 61131-2, 集 成 有 交 流 电 源 的 S7-1200 的 传 感 器 电 源 输 出 通 信 电 路 和 内 部 逻 辑 电 路 属 于 SELV( 安 全 超 低 电 压 ) 电 路 要 维 持 S7-1200 低 压 电 路 的 安 全 特 性, 到 通 信 端 口 模 拟 电 路 以 及 所 有 24 V 额 定 电 源 和 I/O 电 路 的 外 部 连 接 必 须 由 合 格 的 电 源 供 电, 该 电 源 必 须 满 足 各 种 标 准 对 SELV PELV 二 类 限 制 电 压 或 受 限 电 源 的 要 求 警 告 若 使 用 非 隔 离 或 单 绝 缘 电 源 通 过 交 流 线 路 给 低 压 电 路 供 电, 可 能 会 导 致 本 来 应 当 可 以 安 全 触 摸 的 电 路 上 出 现 危 险 电 压, 例 如, 通 信 电 路 和 低 压 传 感 器 线 路 这 种 意 外 的 高 压 可 能 会 引 起 电 击 而 导 致 死 亡 人 员 重 伤 和 / 或 财 产 损 失 只 应 当 使 用 合 格 的 高 压 转 低 压 整 流 器 作 为 可 安 全 接 触 的 限 压 电 路 的 供 电 电 源 S7-1200 的 接 地 准 则 将 应 用 设 备 接 地 的 最 佳 方 式 是 确 保 S7-1200 和 相 关 设 备 的 所 有 公 共 端 和 接 地 连 接 在 同 一 个 点 接 地 该 点 应 该 直 接 连 接 到 系 统 的 大 地 接 地 所 有 地 线 应 尽 可 能 地 短 且 应 使 用 大 线 径, 例 如,2 mm 2 (14 AWG) 确 定 接 地 点 时, 应 考 虑 安 全 接 地 要 求 和 保 护 性 中 断 装 置 的 正 常 运 行 系 统 手 册, 11/2009, A5E02486685-02 35

安 装 2.3 接 线 准 则 S7-1200 的 接 线 准 则 规 划 S7-1200 的 接 线 时, 应 提 供 一 个 可 同 时 切 断 S7-1200 CPU 电 源 所 有 输 入 电 路 和 所 有 输 出 电 路 电 力 供 应 的 隔 离 开 关 请 提 供 过 流 保 护 ( 例 如, 熔 断 器 或 断 路 器 ) 以 限 制 电 源 线 中 的 故 障 电 流 考 虑 在 各 输 出 电 路 中 安 装 熔 断 器 或 其 它 电 流 限 制 器 提 供 额 外 保 护 为 所 有 可 能 遭 雷 电 冲 击 的 线 路 安 装 合 适 的 浪 涌 抑 制 设 备 避 免 将 低 压 信 号 线 和 通 信 电 缆 铺 设 在 具 有 交 流 线 和 高 能 量 快 速 开 关 直 流 线 的 槽 中 始 终 成 对 布 线, 中 性 线 或 公 共 线 与 火 线 或 信 号 线 成 对 使 用 尽 可 能 短 的 电 线 并 确 保 线 径 适 合 承 载 所 需 电 流 连 接 器 接 受 2 mm 2 到 0.3 mm 2 (14 AWG 到 22 AWG) 的 线 径 使 用 屏 蔽 线 以 便 最 好 地 防 止 电 噪 声 通 常 在 S7-1200 端 将 屏 蔽 层 接 地 能 获 得 最 佳 效 果 在 给 通 过 外 部 电 源 供 电 的 输 入 电 路 接 线 时, 应 在 电 路 中 安 装 过 流 保 护 装 置 由 S7-1200 的 24 VDC 传 感 器 电 源 供 电 的 电 路 不 需 要 外 部 保 护, 因 为 该 传 感 器 电 源 的 电 流 已 经 受 到 限 制 所 有 S7-1200 模 块 都 有 供 用 户 接 线 的 可 拆 卸 连 接 器 要 防 止 连 接 器 松 动, 请 确 保 连 接 器 固 定 牢 靠 并 且 导 线 被 牢 固 地 安 装 到 连 接 器 中 为 避 免 损 坏 连 接 器, 小 心 不 要 将 螺 丝 拧 得 过 紧 连 接 器 螺 钉 的 最 大 扭 矩 为 0.56 N-m(5 英 寸 - 磅 ) 为 了 有 利 于 防 止 安 装 中 出 现 意 外 的 电 流,S7-1200 在 某 些 点 提 供 绝 缘 边 界 在 您 规 划 系 统 的 接 线 时, 应 考 虑 这 些 绝 缘 边 界 有 关 所 提 供 的 绝 缘 程 度 和 绝 缘 边 界 位 置 的 信 息, 请 参 见 技 术 规 范 不 要 相 信 额 定 值 小 于 1500 VAC 的 绝 缘 边 界 是 安 全 边 界 感 性 负 载 的 使 用 准 则 应 当 为 感 性 负 载 安 装 抑 制 电 路, 限 制 在 关 闭 控 制 输 出 时 的 电 压 上 升 抑 制 电 路 可 保 护 输 出, 防 止 关 闭 感 性 负 载 时 产 生 的 高 压 导 致 其 过 早 损 坏 此 外, 抑 制 电 路 还 能 限 制 开 关 感 性 负 载 时 产 生 的 电 噪 声 布 置 一 个 外 部 抑 制 电 路 使 其 从 电 路 上 跨 接 在 负 载 两 端 并 且 在 位 置 上 接 近 负 载, 这 样 对 降 低 电 气 噪 声 最 有 效 说 明 给 定 的 抑 制 电 路 是 否 有 效 取 决 于 实 际 的 应 用, 必 须 针 对 具 体 应 用 检 验 其 有 效 性 务 必 确 保 抑 制 电 路 中 使 用 的 所 有 元 件 都 适 合 您 的 具 体 应 用 36 系 统 手 册, 11/2009, A5E02486685-02

安 装 2.3 接 线 准 则 控 制 直 流 感 性 负 载 S7-1200 的 DC 输 出 包 括 抑 制 电 路, 该 电 路 足 以 抑 制 大 多 数 应 用 的 感 性 负 载 由 于 继 电 器 可 用 于 直 流 或 交 流 负 载, 所 以 未 提 供 内 部 保 护 下 图 显 示 了 一 个 直 流 负 载 抑 制 电 路 实 例 在 大 多 数 应 用 中, 在 感 性 负 载 两 端 增 加 一 个 二 极 管 (A) 就 可 以 了, 但 如 果 您 的 应 用 要 求 更 快 的 关 闭 时 间, 则 建 议 再 增 加 一 个 稳 压 二 极 管 (B) 1 2 3 A B I1N4001 二 极 管 或 同 等 元 件 8.2 V 稳 压 二 极 管 ( 直 流 输 出 ), 36 V 稳 压 二 极 管 ( 继 电 器 输 出 ) 输 出 点 请 确 保 正 确 选 择 稳 压 二 极 管, 以 适 合 输 出 电 路 中 的 电 流 量 控 制 交 流 负 载 的 继 电 器 输 出 使 用 继 电 器 输 出 开 关 115 V/230 VAC 负 载 时, 请 在 交 流 负 载 两 端 并 联 一 个 电 阻 / 电 容 网 络, 如 图 所 示 也 可 以 使 用 金 属 氧 化 物 变 阻 器 (MOV) 限 制 尖 峰 电 压 请 确 保 MOV 的 工 作 电 压 至 少 比 额 定 线 电 压 高 出 20% 1 2 3 MOV 0.1 μ F 100 到 120 Ω 输 出 点 灯 负 载 的 使 用 准 则 由 于 接 通 浪 涌 电 流 大, 灯 负 载 会 损 坏 继 电 器 触 点 该 浪 涌 电 流 通 常 是 钨 灯 稳 态 电 流 的 10 到 15 倍 对 于 在 应 用 期 间 将 进 行 大 量 开 关 操 作 的 灯 负 载, 建 议 安 装 可 更 换 的 插 入 式 继 电 器 或 浪 涌 限 制 器 系 统 手 册, 11/2009, A5E02486685-02 37

安 装 2.3 接 线 准 则 38 系 统 手 册, 11/2009, A5E02486685-02

PLC 概 念 3 3.1 用 户 程 序 的 执 行 CPU 支 持 以 下 类 型 的 代 码 块, 使 用 它 们 可 以 创 建 有 效 的 用 户 程 序 结 构 : 组 织 块 (OB) 定 义 程 序 的 结 构 有 些 OB 具 有 预 定 义 的 行 为 和 启 动 事 件, 但 用 户 也 可 以 创 建 具 有 自 定 义 启 动 事 件 的 OB 功 能 (FC) 和 功 能 块 (FB) 包 含 与 特 定 任 务 或 参 数 组 合 相 对 应 的 程 序 代 码 每 个 FC 或 FB 都 提 供 一 组 输 入 和 输 出 参 数, 用 于 与 调 用 块 共 享 数 据 FB 还 使 用 相 关 联 的 数 据 块 ( 称 为 背 景 数 据 块 ) 来 保 存 执 行 期 间 的 值 状 态, 程 序 中 的 其 它 块 可 以 使 用 这 些 值 状 态 数 据 块 (DB) 存 储 程 序 块 可 以 使 用 的 数 据 用 户 程 序 的 执 行 顺 序 是 : 从 一 个 或 多 个 在 进 入 RUN 模 式 时 运 行 一 次 的 可 选 启 动 组 织 块 (OB) 开 始, 然 后 执 行 一 个 或 多 个 循 环 执 行 的 程 序 循 环 OB OB 也 可 以 与 中 断 事 件 ( 可 以 是 标 准 事 件 或 错 误 事 件 ) 相 关 联, 并 在 相 应 的 标 准 或 错 误 事 件 发 生 时 执 行 功 能 (FC) 或 功 能 块 (FB) 是 指 可 从 OB 或 其 它 FC/FB 调 用 的 程 序 代 码 块, 可 下 至 以 下 层 级 : 16( 从 程 序 循 环 OB 或 启 动 OB 开 始 ) 4( 从 延 时 中 断 循 环 中 断 硬 件 中 断 时 间 错 误 中 断 或 诊 断 错 误 中 断 OB 开 始 ) FC 不 与 任 何 特 定 数 据 块 (DB) 相 关 联, 而 FB 与 DB 直 接 相 关 并 使 用 DB 来 传 送 参 数 以 及 存 储 中 间 值 和 结 果 用 户 程 序 数 据 及 组 态 的 大 小 受 CPU 中 可 用 装 载 存 储 器 和 工 作 存 储 器 的 限 制 在 可 用 工 作 存 储 器 空 间 范 围 内, 对 所 支 持 的 块 数 量 没 有 限 制 每 个 周 期 都 包 括 写 入 输 出 读 取 输 入 执 行 用 户 程 序 指 令 以 及 执 行 系 统 维 护 或 后 台 处 理 该 周 期 称 为 扫 描 周 期 或 扫 描 只 有 在 通 电 时, 才 会 对 信 号 板 信 号 模 块 和 通 信 模 块 进 行 检 测 和 注 册 说 明 不 支 持 在 通 电 时 ( 热 ) 插 入 和 拔 出 信 号 板 信 号 模 块 和 通 信 模 块 唯 一 的 例 外 是 SIMATIC 存 储 卡, 它 可 以 在 CPU 通 电 时 插 入 或 拔 出 系 统 手 册, 11/2009, A5E02486685-02 39

PLC 概 念 3.1 用 户 程 序 的 执 行 在 默 认 组 态 中, 所 有 数 字 量 和 模 拟 量 I/O 点 都 通 过 内 部 存 储 区 ( 即 过 程 映 像 ) 与 扫 描 周 期 同 步 更 新 过 程 映 像 包 含 物 理 输 入 和 输 出 (CPU 信 号 板 和 信 号 模 块 上 的 物 理 I/O 点 ) 的 快 照 CPU 执 行 以 下 任 务 : CPU 将 过 程 映 像 输 出 区 中 的 输 出 值 写 入 到 物 理 输 出 CPU 仅 在 用 户 程 序 执 行 前 读 取 物 理 输 入, 并 将 输 入 值 存 储 在 过 程 映 像 输 入 区 这 样 可 确 保 这 些 值 在 整 个 用 户 指 令 执 行 过 程 中 保 持 一 致 CPU 执 行 用 户 指 令 逻 辑, 并 更 新 过 程 映 像 输 出 区 中 的 输 出 值, 而 不 是 写 入 实 际 的 物 理 输 出 这 一 过 程 通 过 在 给 定 周 期 内 执 行 用 户 指 令 而 提 供 一 致 的 逻 辑, 并 防 止 物 理 输 出 点 可 能 在 过 程 映 像 输 出 区 中 多 次 改 变 状 态 而 出 现 抖 动 用 户 可 以 指 定 是 否 将 数 字 量 和 模 拟 量 I/O 点 存 储 到 过 程 映 像 中 如 果 在 设 备 视 图 中 插 入 模 块, 则 其 数 据 将 默 认 存 储 到 S7-1200-CPU 的 过 程 映 像 中 CPU 在 过 程 映 像 更 新 期 间 自 动 处 理 模 块 和 过 程 映 像 间 的 数 据 交 换 要 从 过 程 映 像 自 动 更 新 中 删 除 数 字 量 或 模 拟 量 点, 请 在 设 备 配 置 中 选 择 相 应 的 设 备, 查 看 属 性 (Properties) 选 项 卡, 在 必 要 时 展 开 以 查 找 所 需 I/O 点, 然 后 选 择 IO 地 址 / 硬 件 标 识 符 (IO addresses/hw identifier) 然 后 将 过 程 映 像 : (Process image:) 对 应 的 条 目 从 循 环 PI (Cyclic PI) 更 改 为 --- 要 将 这 些 点 重 新 添 加 到 过 程 映 像 自 动 更 新 中, 请 将 该 选 项 再 更 改 为 循 环 PI (Cyclic PI) 可 以 在 指 令 执 行 时 立 即 读 取 物 理 输 入 值 和 立 即 写 入 物 理 输 出 值 无 论 I/O 点 是 否 被 组 态 为 存 储 到 过 程 映 像 中, 立 即 读 取 功 能 都 将 访 问 物 理 输 入 的 当 前 状 态 而 不 更 新 过 程 映 像 输 入 区 立 即 写 入 物 理 输 出 功 能 将 同 时 更 新 过 程 映 像 输 出 区 ( 如 果 相 应 I/O 点 组 态 为 存 储 到 过 程 映 像 中 ) 和 物 理 输 出 点 如 果 想 要 程 序 不 使 用 过 程 映 像, 直 接 从 物 理 点 立 即 访 问 I/O 数 据, 则 在 I/O 地 址 后 加 后 缀 :P 组 态 启 动 参 数 使 用 CPU 属 性 可 组 态 CPU 在 通 电 周 期 后 的 启 动 方 式 选 择 CPU 是 在 STOP 模 式 RUN 模 式 还 是 上 一 个 模 式 ( 通 电 周 期 之 前 ) 下 启 动 CPU 在 进 入 RUN 模 式 前 执 行 暖 启 动 暖 启 动 会 将 所 有 非 保 持 性 存 储 器 复 位 为 默 认 初 始 值, 但 保 留 保 持 性 存 储 器 中 存 储 的 当 前 值 40 系 统 手 册, 11/2009, A5E02486685-02

PLC 概 念 3.1 用 户 程 序 的 执 行 说 明 下 载 完 成 后 CPU 总 是 会 执 行 重 新 启 动 每 次 下 载 完 项 目 元 素 ( 例 如 程 序 块 数 据 块 或 硬 件 配 置 ),CPU 都 会 在 下 一 次 切 换 到 RUN 模 式 时 先 执 行 重 新 启 动 除 清 除 输 入 初 始 化 输 出 以 及 初 始 化 非 保 持 性 存 储 器 之 外, 重 新 启 动 还 会 初 始 化 保 持 性 存 储 区 在 紧 随 下 载 的 重 新 启 动 完 成 之 后, 所 有 随 后 的 STOP 到 RUN 切 换 均 会 执 行 暖 启 动 ( 不 会 初 始 化 保 持 性 存 储 器 ) 3.1.1 CPU 的 工 作 模 式 CPU 有 以 下 三 种 工 作 模 式 : STOP 模 式 STARTUP 模 式 和 RUN 模 式 CPU 前 面 的 状 态 LED 指 示 当 前 工 作 模 式 在 STOP 模 式 下,CPU 不 执 行 任 何 程 序, 而 用 户 可 以 下 载 项 目 在 STARTUP 模 式 下, 执 行 一 次 启 动 OB( 如 果 存 在 ) 在 RUN 模 式 的 启 动 阶 段, 不 处 理 任 何 中 断 事 件 在 RUN 模 式 下, 重 复 执 行 扫 描 周 期 中 断 事 件 可 能 会 在 程 序 循 环 阶 段 的 任 何 点 发 生 并 进 行 处 理 处 于 RUN 模 式 下 时, 无 法 下 载 任 何 项 目 CPU 支 持 通 过 暖 启 动 进 入 RUN 模 式 暖 启 动 不 包 括 储 存 器 复 位 在 暖 启 动 时, 所 有 非 保 持 性 系 统 及 用 户 数 据 都 将 被 初 始 化 保 留 保 持 性 用 户 数 据 存 储 器 复 位 将 清 除 所 有 工 作 存 储 器 保 持 性 及 非 保 持 性 存 储 区, 并 将 装 载 存 储 器 复 制 到 工 作 存 储 器 存 储 器 复 位 不 会 清 除 诊 断 缓 冲 区, 也 不 会 清 除 永 久 保 存 的 IP 地 址 值 可 以 使 用 编 程 软 件 指 定 CPU 的 上 电 模 式 以 及 重 启 方 法 该 组 态 项 目 出 现 在 CPU 设 备 配 置 (Device Configuration) 的 启 动 (Startup) 下 通 电 后,CPU 将 执 行 一 系 列 上 电 诊 断 检 查 和 系 统 初 始 化 操 作 然 后 CPU 进 入 适 当 的 上 电 模 式 检 测 到 的 某 些 错 误 将 阻 止 CPU 进 入 RUN 模 式 CPU 支 持 以 下 上 电 模 式 : STOP 模 式 暖 启 动 后 转 到 RUN 模 式 暖 启 动 后 转 到 上 一 个 模 式 系 统 手 册, 11/2009, A5E02486685-02 41

PLC 概 念 3.1 用 户 程 序 的 执 行 使 用 编 程 软 件 在 线 工 具 中 的 STOP 或 RUN 命 令, 可 以 更 改 当 前 工 作 模 式 也 可 在 程 序 中 包 含 STP 指 令, 以 使 CPU 切 换 到 STOP 模 式 这 样 就 可 以 根 据 程 序 逻 辑 停 止 程 序 的 执 行 在 STOP 模 式 下,CPU 1 处 理 所 有 通 信 请 求 ( 如 果 适 用 ) 并 2 执 行 自 诊 断 在 STOP 模 式 下,CPU 不 执 行 用 户 程 序, 过 程 映 像 也 不 会 自 动 更 新 只 有 在 CPU 处 于 STOP 模 式 时, 才 能 下 载 项 目 在 RUN 模 式 下,CPU 执 行 下 图 所 示 的 任 务 STARTUP RUN A 清 除 I 存 储 区 1 将 Q 存 储 器 写 入 物 理 输 出 B 使 用 上 一 个 值 或 替 换 值 对 输 出 执 行 2 将 物 理 输 入 的 状 态 复 制 到 I 存 储 器 初 始 化 C 执 行 启 动 OB 3 执 行 程 序 循 环 OB D 将 物 理 输 入 的 状 态 复 制 到 I 存 储 器 4 执 行 自 检 诊 断 E 将 所 有 中 断 事 件 存 储 到 要 在 RUN 5 在 扫 描 周 期 的 任 何 阶 段 处 理 中 断 和 通 信 模 式 下 处 理 的 队 列 中 F 启 用 Q 存 储 器 到 物 理 输 出 的 写 入 操 作 42 系 统 手 册, 11/2009, A5E02486685-02

PLC 概 念 3.1 用 户 程 序 的 执 行 STARTUP 过 程 只 要 工 作 状 态 从 STOP 切 换 到 RUN,CPU 就 会 清 除 过 程 映 像 输 入 初 始 化 过 程 映 像 输 出 并 处 理 启 动 OB 启 动 OB 中 的 指 令 对 过 程 映 像 输 入 进 行 任 何 读 访 问 时, 读 取 到 都 只 有 零, 而 不 是 当 前 物 理 输 入 值 因 此, 要 在 启 动 模 式 下 读 取 物 理 输 入 的 当 前 状 态, 必 须 执 行 立 即 读 取 操 作 接 着 再 执 行 启 动 OB 以 及 任 何 相 关 的 FC 和 FB 如 果 存 在 多 个 启 动 OB, 则 按 照 OB 编 号 依 次 执 行 各 启 动 OB,OB 编 号 最 小 的 先 执 行 每 个 启 动 OB 都 包 含 帮 助 您 确 定 保 持 性 数 据 和 日 时 钟 有 效 性 的 启 动 信 息 可 以 在 启 动 OB 中 编 写 指 令, 以 检 查 这 些 启 动 值, 从 而 采 取 适 当 的 措 施 启 动 OB 支 持 以 下 启 动 位 置 : 输 入 数 据 类 型 说 明 LostRetentive BOOL 如 果 保 持 性 数 据 存 储 区 丢 失, 该 位 为 真 LostRTC BOOL 如 果 日 时 钟 ( 实 时 时 钟 ) 丢 失, 该 位 为 真 在 启 动 过 程 中,CPU 还 会 执 行 以 下 任 务 在 启 动 阶 段, 对 中 断 进 行 排 队 但 不 加 以 处 理 在 启 动 阶 段, 不 执 行 任 何 循 环 时 间 监 视 在 启 动 模 式 下, 可 以 更 改 HSC(High-Speed Counter, 高 速 计 数 器 ) PWM (Pulse-Width Modulation, 脉 冲 宽 度 调 制 ) 以 及 PtP(Point-to-Point communication, 点 对 点 通 信 ) 模 块 的 组 态 只 有 在 RUN 模 式 下 才 会 真 正 运 行 HSC PWM 和 点 对 点 通 信 模 块 执 行 完 启 动 OB 后,CPU 将 进 入 RUN 模 式 并 在 连 续 的 扫 描 周 期 内 处 理 控 制 任 务 在 RUN 模 式 下 处 理 扫 描 周 期 在 每 个 扫 描 周 期 中,CPU 都 会 写 入 输 出 读 取 输 入 执 行 用 户 程 序 更 新 通 信 模 块 执 行 内 部 处 理 工 作 以 及 响 应 用 户 中 断 事 件 和 通 信 请 求 在 扫 描 期 间 会 定 期 处 理 通 信 请 求 以 上 操 作 ( 用 户 中 断 事 件 除 外 ) 按 先 后 顺 序 定 期 进 行 处 理 对 于 已 启 用 的 用 户 中 断 事 件, 则 根 据 优 先 级 按 其 发 生 顺 序 进 行 处 理 系 统 要 保 证 扫 描 周 期 在 一 定 的 时 间 段 内 ( 即 最 大 循 环 时 间 ) 完 成 ; 否 则 将 生 成 时 间 错 误 事 件 在 每 个 扫 描 周 期 的 开 始, 从 过 程 映 像 重 新 获 取 数 字 量 及 模 拟 量 输 出 的 当 前 值, 然 后 将 其 写 入 到 CPU SB 和 SM 模 块 上 组 态 为 自 动 I/O 更 新 ( 默 认 组 态 ) 的 物 理 输 出 通 过 指 令 访 问 物 理 输 出 时, 输 出 过 程 映 像 和 物 理 输 出 本 身 都 将 被 更 新 系 统 手 册, 11/2009, A5E02486685-02 43

PLC 概 念 3.1 用 户 程 序 的 执 行 随 后 在 该 扫 描 周 期 中, 将 读 取 CPU SB 和 SM 模 块 上 组 态 为 自 动 I/O 更 新 ( 默 认 组 态 ) 的 数 字 量 及 模 拟 量 输 入 的 当 前 值, 然 后 将 这 些 值 写 入 过 程 映 像 通 过 指 令 访 问 物 理 输 入 时, 指 令 将 访 问 物 理 输 入 的 值, 但 输 入 过 程 映 像 不 会 更 新 读 取 输 入 后, 系 统 将 从 第 一 条 指 令 开 始 执 行 用 户 程 序, 一 直 执 行 到 最 后 一 条 指 令 其 中 包 括 所 有 的 程 序 循 环 OB 及 其 所 有 关 联 的 FC 和 FB 程 序 循 环 OB 根 据 OB 编 号 依 次 执 行,OB 编 号 最 小 的 先 执 行 在 扫 描 期 间 会 定 期 处 理 通 信 请 求, 这 可 能 会 中 断 用 户 程 序 的 执 行 自 诊 断 检 查 包 括 定 期 检 查 系 统 和 检 查 I/O 模 块 的 状 态 中 断 可 能 发 生 在 扫 描 周 期 的 任 何 阶 段, 并 且 由 事 件 驱 动 事 件 发 生 时,CPU 将 中 断 扫 描 循 环, 并 调 用 被 组 态 用 于 处 理 该 事 件 的 OB OB 处 理 完 该 事 件 后,CPU 从 中 断 点 继 续 执 行 用 户 程 序 组 织 块 (OB) OB 控 制 用 户 程 序 的 执 行 每 个 OB 的 OB 编 号 必 须 唯 一 200 以 下 的 一 些 默 认 OB 编 号 被 保 留 其 它 OB 编 号 必 须 大 于 或 等 于 200 CPU 中 的 特 定 事 件 将 触 发 组 织 块 的 执 行 OB 无 法 互 相 调 用 或 通 过 FC 或 FB 调 用 只 有 启 动 事 件 ( 例 如, 诊 断 中 断 或 时 间 间 隔 ) 可 以 启 动 OB 的 执 行 CPU 按 优 先 等 级 处 理 OB, 即 先 执 行 优 先 级 较 高 的 OB 然 后 执 行 优 先 级 较 低 的 OB 最 低 优 先 等 级 为 1( 对 应 主 程 序 循 环 ), 最 高 优 先 等 级 为 27( 对 应 时 间 错 误 中 断 ) OB 控 制 以 下 操 作 : 程 序 循 环 OB 在 CPU 处 于 RUN 模 式 时 循 环 执 行 主 程 序 块 是 程 序 循 环 OB 用 户 在 其 中 放 置 控 制 程 序 的 指 令 以 及 调 用 其 它 用 户 块 允 许 使 用 多 个 程 序 循 环 OB, 它 们 按 编 号 顺 序 执 行 OB 1 是 默 认 循 环 OB 其 它 程 序 循 环 OB 必 须 标 识 为 OB 200 或 更 大 启 动 OB 在 CPU 的 工 作 模 式 从 STOP 切 换 到 RUN 时 执 行 一 次, 包 括 处 于 RUN 模 式 时 和 执 行 STOP 到 RUN 切 换 命 令 时 上 电 之 后 将 开 始 执 行 主 程 序 循 环 OB 允 许 有 多 个 启 动 OB OB 100 是 默 认 启 动 OB 其 它 启 动 OB 必 须 是 OB 200 或 更 大 通 过 启 动 中 断 (SRT_DINT) 指 令 组 态 事 件 后, 时 间 延 迟 OB 将 以 指 定 的 时 间 间 隔 执 行 延 迟 时 间 在 扩 展 指 令 SRT_DINT 的 输 入 参 数 中 指 定 指 定 的 延 迟 时 间 结 束 时, 时 间 延 迟 OB 将 中 断 正 常 的 循 环 程 序 执 行 对 任 何 给 定 的 时 间 最 多 可 以 组 态 4 个 时 间 延 迟 事 件, 每 个 组 态 的 时 间 延 迟 事 件 只 允 许 对 应 一 个 OB 时 间 延 迟 OB 必 须 是 OB 200 或 更 大 44 系 统 手 册, 11/2009, A5E02486685-02

PLC 概 念 3.1 用 户 程 序 的 执 行 循 环 中 断 OB 以 指 定 的 时 间 间 隔 执 行 循 环 中 断 OB 将 按 用 户 定 义 的 时 间 间 隔 ( 例 如, 每 隔 2 秒 ) 中 断 循 环 程 序 执 行 最 多 可 以 组 态 4 个 循 环 中 断 事 件, 每 个 组 态 的 循 环 中 断 事 件 只 允 许 对 应 一 个 OB 该 OB 必 须 是 OB 200 或 更 大 硬 件 中 断 OB 在 发 生 相 关 硬 件 事 件 时 执 行, 包 括 内 置 数 字 输 入 端 的 上 升 沿 和 下 降 沿 事 件 以 及 HSC 事 件 硬 件 中 断 OB 将 中 断 正 常 的 循 环 程 序 执 行 来 响 应 硬 件 事 件 信 号 可 以 在 硬 件 配 置 的 属 性 中 定 义 事 件 每 个 组 态 的 硬 件 事 件 只 允 许 对 应 一 个 OB 该 OB 必 须 是 OB 200 或 更 大 时 间 错 误 中 断 OB 在 检 测 到 时 间 错 误 时 执 行 如 果 超 出 最 大 循 环 时 间, 时 间 错 误 中 断 OB 将 中 断 正 常 的 循 环 程 序 执 行 最 大 循 环 时 间 在 PLC 的 属 性 中 定 义 OB 80 是 唯 一 支 持 时 间 错 误 事 件 的 OB 可 以 组 态 没 有 OB 80 时 的 动 作 : 忽 略 错 误 或 切 换 到 STOP 模 式 诊 断 错 误 中 断 OB 在 检 测 到 和 报 告 诊 断 错 误 时 执 行 如 果 具 有 诊 断 功 能 的 模 块 发 现 错 误 ( 如 果 模 块 已 启 用 诊 断 错 误 中 断 ), 诊 断 OB 将 中 断 正 常 的 循 环 程 序 执 行 OB 82 是 唯 一 支 持 诊 断 错 误 事 件 的 OB 如 果 程 序 中 没 有 诊 断 OB, 则 可 以 组 态 CPU 使 其 忽 略 错 误 或 切 换 到 STOP 模 式 3.1.2 事 件 执 行 的 优 先 级 与 排 队 CPU 处 理 操 作 受 事 件 控 制 由 事 件 触 发 中 断 OB 的 执 行 事 件 对 应 的 中 断 OB 在 创 建 块 期 间 设 备 配 置 期 间 或 者 使 用 ATTACH 或 DETACH 指 令 指 定 有 些 事 件 定 期 发 生, 例 如, 程 序 循 环 或 循 环 事 件 而 其 它 事 件 只 发 生 一 次, 例 如, 启 动 事 件 和 延 时 事 件 有 些 事 件 在 出 现 硬 件 触 发 的 变 化 时 发 生, 例 如, 输 入 点 上 的 沿 事 件 或 高 速 计 数 器 事 件 还 有 些 事 件 只 有 在 出 现 错 误 时 才 发 生, 例 如, 诊 断 错 误 和 时 间 错 误 事 件 事 件 优 先 级 优 先 级 组 和 队 列 用 于 确 定 事 件 中 断 OB 的 处 理 顺 序 程 序 循 环 事 件 在 每 个 程 序 循 环 ( 扫 描 ) 期 间 发 生 一 次 在 程 序 循 环 期 间,CPU 写 入 输 出 读 取 输 入 和 执 行 程 序 循 环 OB 程 序 循 环 事 件 是 必 需 的, 并 且 一 直 启 用 您 可 以 不 为 程 序 循 环 事 件 选 择 程 序 循 环 OB, 也 可 选 择 多 个 OB 程 序 循 环 事 件 触 发 后, 将 执 行 编 号 最 小 的 程 序 循 环 OB( 通 常 是 OB1) 在 程 序 循 环 中, 其 它 程 序 循 环 OB 按 编 号 顺 序 依 次 执 行 用 户 可 通 过 循 环 中 断 事 件 组 态 中 断 OB 以 指 定 的 时 间 间 隔 执 行 时 间 间 隔 在 创 建 OB 并 将 其 选 为 循 环 中 断 OB 时 组 态 循 环 事 件 此 后 可 中 断 程 序 循 环 并 执 行 循 环 中 断 OB( 循 环 事 件 的 优 先 级 比 程 序 循 环 事 件 的 优 先 级 高 ) 只 能 将 一 个 循 环 中 断 OB 连 接 到 一 个 循 环 事 件 CPU 支 持 4 个 循 环 中 断 事 件 循 环 中 断 OB 具 有 相 移 属 性, 从 而 时 间 间 隔 相 同 的 循 环 中 断 彼 此 错 开 一 定 的 相 移 量 执 行 启 动 事 件 在 从 STOP 切 换 到 RUN 模 式 时 发 生 一 次, 并 触 发 启 动 OB 执 行 可 以 为 启 动 事 件 选 择 多 个 OB 启 动 OB 按 编 号 顺 序 执 行 系 统 手 册, 11/2009, A5E02486685-02 45

PLC 概 念 3.1 用 户 程 序 的 执 行 用 户 可 以 通 过 延 时 中 断 事 件 组 态 中 断 OB 在 指 定 的 延 迟 时 间 过 后 执 行 延 迟 时 间 使 用 SRT_DINT 指 令 指 定 延 时 事 件 将 中 断 程 序 循 环 以 执 行 延 时 中 断 OB 只 能 将 一 个 延 时 中 断 OB 连 接 到 一 个 延 时 事 件 CPU 支 持 4 个 延 时 事 件 硬 件 中 断 事 件 在 硬 件 有 变 化 时 触 发, 例 如, 输 入 点 上 的 上 升 沿 / 下 降 沿 事 件 或 者 HSC (High Speed Counter, 高 速 计 数 器 ) 事 件 可 以 为 每 个 硬 件 中 断 事 件 选 择 一 个 中 断 OB 硬 件 事 件 在 设 备 配 置 中 启 用 在 硬 件 配 置 中 或 在 用 户 程 序 中 使 用 ATTACH 指 令 为 事 件 指 定 OB CPU 支 持 多 个 硬 件 中 断 事 件 具 体 事 件 数 取 决 于 CPU 型 号 和 输 入 点 数 时 间 和 诊 断 错 误 中 断 事 件 在 CPU 检 测 到 错 误 时 触 发 这 些 事 件 的 优 先 级 比 其 它 中 断 事 件 的 优 先 级 高, 因 此 可 以 中 断 延 时 循 环 和 硬 件 中 断 事 件 的 执 行 对 一 个 时 间 错 误 和 诊 断 错 误 中 断 事 件 只 能 指 定 一 个 中 断 OB 了 解 事 件 执 行 的 优 先 级 与 排 队 单 一 来 源 的 未 决 ( 排 队 的 ) 事 件 数 量 通 过 各 种 事 件 类 型 的 不 同 队 列 加 以 限 制 达 到 给 定 事 件 类 型 的 未 决 事 件 限 值 后, 下 一 个 事 件 将 丢 失 有 关 队 列 溢 出 的 更 多 信 息, 请 参 见 后 面 的 了 解 时 间 错 误 事 件 部 分 每 个 CPU 事 件 都 有 一 个 关 联 的 优 先 级, 而 事 件 优 先 级 分 为 若 干 个 优 先 级 组 下 表 汇 总 了 受 支 持 CPU 事 件 的 队 列 深 度 优 先 级 组 及 优 先 级 说 明 不 能 更 改 优 先 级 或 优 先 级 组 的 分 配, 也 不 能 更 改 队 列 深 度 通 常, 事 件 按 优 先 级 顺 序 进 行 处 理 ( 优 先 级 最 高 的 最 先 进 行 处 理 ) 优 先 级 相 同 的 事 件 按 先 到 先 得 的 原 则 进 行 处 理 46 系 统 手 册, 11/2009, A5E02486685-02

PLC 概 念 3.1 用 户 程 序 的 执 行 事 件 类 型 (OB) 数 量 有 效 OB 编 号 队 列 深 度 优 先 级 组 优 先 级 程 序 循 环 1 个 程 序 循 环 事 件 1( 默 认 ) 1 1 1 允 许 多 个 OB 200 或 更 大 启 动 1 个 启 动 事 件 1 100( 默 认 ) 1 1 允 许 多 个 OB 200 或 更 大 延 时 循 环 沿 HSC 4 个 延 时 事 件 每 个 事 件 1 个 OB 4 个 循 环 事 件 每 个 事 件 1 个 OB 16 个 上 升 沿 事 件 16 个 下 降 沿 事 件 每 个 事 件 1 个 OB 6 个 CV = PV 事 件 6 个 方 向 更 改 事 件 6 个 外 部 复 位 事 件 每 个 事 件 1 个 OB 200 或 更 大 8 2 3 200 或 更 大 8 4 200 或 更 大 32 5 200 或 更 大 16 6 诊 断 错 误 1 个 事 件 仅 限 82 8 9 时 间 错 误 事 件 /MaxCycle 时 间 事 件 1 个 时 间 错 误 事 件 1 个 MaxCycle 时 间 事 件 仅 限 80 8 3 26 2xMaxCycle 时 间 事 件 1 个 2xMaxCycle 时 间 事 件 不 调 用 OB - 3 27 1 启 动 事 件 的 特 殊 情 况 启 动 事 件 和 程 序 循 环 事 件 永 远 不 会 同 时 发 生, 因 为 在 启 动 事 件 运 行 完 成 之 后 才 会 启 动 程 序 循 环 事 件 ( 由 操 作 系 统 控 制 ) 没 有 什 么 事 件 可 以 中 断 启 动 事 件 启 动 事 件 期 间 发 生 的 事 件 因 此 将 排 队 等 到 启 动 事 件 完 成 后 再 进 行 处 理 OB 开 始 执 行 后, 如 果 发 生 另 一 个 相 同 或 较 低 优 先 级 组 中 的 事 件, 则 该 OB 的 处 理 无 法 被 中 断 这 类 事 件 将 排 队 等 待 稍 后 处 理, 从 而 使 当 前 OB 能 够 完 成 系 统 手 册, 11/2009, A5E02486685-02 47

PLC 概 念 3.1 用 户 程 序 的 执 行 但 是, 较 高 优 先 级 组 中 的 事 件 可 中 断 当 前 OB, 而 CPU 随 后 将 执 行 较 高 优 先 级 事 件 对 应 的 OB 较 高 优 先 级 OB 完 成 后,CPU 将 根 据 较 高 优 先 级 组 内 的 优 先 级, 执 行 该 组 中 排 队 的 任 何 其 它 事 件 的 OB 如 果 该 较 高 优 先 级 组 中 没 有 其 它 未 决 ( 排 队 的 ) 事 件,CPU 将 返 回 到 较 低 优 先 级 组, 并 从 被 预 占 OB 处 理 的 中 断 点 继 续 处 理 该 OB 中 断 等 待 时 间 如 果 中 断 事 件 发 生 时 程 序 循 环 OB 是 唯 一 激 活 的 事 件 服 务 例 程, 则 中 断 事 件 等 待 时 间 ( 该 时 间 是 指 从 通 知 CPU 发 生 了 事 件 到 CPU 开 始 执 行 处 理 该 事 件 的 OB 中 的 第 一 条 指 令 ) 约 为 210 µs 了 解 时 间 错 误 事 件 出 现 几 种 不 同 时 间 错 误 情 况 中 的 任 何 一 种 都 会 引 起 时 间 错 误 事 件 所 支 持 的 时 间 错 误 有 以 下 几 种 : 超 出 最 大 循 环 时 间 请 求 的 OB 无 法 启 动 发 生 队 列 溢 出 如 果 程 序 循 环 在 指 定 的 最 大 扫 描 周 期 时 间 内 未 完 成, 就 会 出 现 超 出 最 大 循 环 时 间 这 种 情 况 有 关 最 大 循 环 时 间 情 况 如 何 组 态 最 大 扫 描 周 期 时 间 以 及 如 何 复 位 循 环 定 时 器 的 更 多 信 息, 请 参 见 监 视 循 环 时 间 ( 页 45) 部 分 如 果 循 环 中 断 或 延 时 中 断 请 求 OB, 但 请 求 的 OB 已 经 在 执 行, 就 会 出 现 请 求 的 OB 无 法 启 动 这 种 情 况 如 果 中 断 的 出 现 频 率 超 过 其 处 理 频 率, 就 会 出 现 发 生 队 列 溢 出 这 种 情 况 各 种 事 件 类 型 的 未 决 ( 排 队 的 ) 事 件 数 量 通 过 不 同 的 队 列 加 以 限 制 如 果 某 个 事 件 在 相 应 的 队 列 已 满 时 发 生, 将 生 成 时 间 错 误 事 件 所 有 时 间 错 误 事 件 都 可 触 发 OB 80( 如 果 存 在 ) 的 执 行 如 果 OB 80 不 存 在,CPU 将 忽 略 该 错 误 如 果 在 同 一 程 序 循 环 中 出 现 两 次 超 出 最 大 循 环 时 间 的 情 况 且 没 有 复 位 循 环 定 时 器, 则 无 论 OB 80 是 否 存 在,CPU 都 将 切 换 到 STOP 模 式 请 参 见 监 视 循 环 时 间 ( 页 45) 部 分 OB 80 中 包 含 的 启 动 信 息 有 助 于 您 确 定 生 成 时 间 错 误 的 事 件 和 OB 可 以 在 OB 80 中 编 写 指 令, 以 检 查 这 些 启 动 值 以 及 采 取 适 当 的 措 施 OB 80 支 持 以 下 启 动 位 置 : 48 系 统 手 册, 11/2009, A5E02486685-02

PLC 概 念 3.1 用 户 程 序 的 执 行 输 入 数 据 类 型 说 明 fault_id BYTE 16#01 - 超 出 最 大 循 环 时 间 16#02 - 请 求 的 OB 无 法 启 动 16#07 和 16#09 - 发 生 队 列 溢 出 csg_obnr OB_ANY 出 错 时 正 在 执 行 的 OB 的 编 号 csg_prio UINT 导 致 错 误 的 OB 的 优 先 级 创 建 新 项 目 时, 不 存 在 时 间 错 误 中 断 OB 80 如 果 需 要, 请 在 树 中 的 程 序 块 (Program blocks) 下 双 击 添 加 新 块 (Add new block), 然 后 依 次 选 择 组 织 块 (Organization block) 时 间 错 误 中 断 (Time error interrupt), 这 样 便 可 将 时 间 错 误 中 断 OB 80 添 加 到 项 目 中 了 解 诊 断 错 误 事 件 某 些 设 备 能 够 检 测 和 报 告 诊 断 错 误 发 生 或 清 除 几 种 不 同 诊 断 错 误 情 况 中 的 任 何 一 种 都 会 引 起 诊 断 错 误 事 件 所 支 持 的 诊 断 错 误 有 以 下 几 种 : 无 用 户 电 源 超 出 上 限 超 出 下 限 断 路 短 路 所 有 诊 断 错 误 事 件 都 可 触 发 OB 82( 如 果 存 在 ) 的 执 行 如 果 OB 82 不 存 在,CPU 将 忽 略 该 错 误 创 建 新 项 目 时, 不 存 在 诊 断 错 误 中 断 OB 82 如 果 需 要, 请 在 树 中 的 程 序 块 (Program blocks) 下 双 击 添 加 新 块 (Add new block), 然 后 依 次 选 择 组 织 块 (Organization block) 诊 断 错 误 中 断 (Diagnostic error interrupt), 这 样 便 可 将 诊 断 错 误 中 断 OB 82 添 加 到 项 目 中 OB 82 中 包 含 的 启 动 信 息 有 助 于 您 确 定 是 因 为 错 误 的 出 现 还 是 清 除 导 致 事 件 的 发 生, 以 及 确 定 报 告 错 误 的 设 备 和 通 道 可 以 在 OB 82 中 编 写 指 令, 以 检 查 这 些 启 动 值 以 及 采 取 适 当 的 措 施 OB 82 支 持 以 下 启 动 位 置 : 系 统 手 册, 11/2009, A5E02486685-02 49

PLC 概 念 3.1 用 户 程 序 的 执 行 输 入 数 据 类 型 说 明 IOstate WORD 设 备 的 IO 状 态 laddr HW_ANY 报 告 错 误 的 设 备 或 功 能 单 元 的 硬 件 标 识 符 channel UINT 通 道 号 multierror BOOL 如 果 存 在 多 个 错 误, 参 数 值 为 TRUE( 以 前 版 本 中 不 支 持 ) IO_state 的 位 4 指 示 事 件 的 发 生 是 因 为 错 误 的 出 现 还 是 清 除 错 误 ( 例 如, 断 线 ) 出 现 时 位 4 为 1, 错 误 消 失 后 为 0 梯 形 图 输 入 包 含 返 回 错 误 的 设 备 或 功 能 单 元 的 硬 件 标 识 符 (HW ID) HW ID 是 在 设 备 或 网 络 视 图 中 插 入 组 件 时 自 动 分 配 的, 它 出 现 在 PLC 变 量 的 常 量 (Constants) 选 项 卡 中 还 会 为 HW ID 自 动 分 配 名 称 PLC 变 量 的 常 量 (Constants) 选 项 卡 中 的 这 些 条 目 无 法 更 改 输 入 通 道 号 从 0( 对 应 第 一 个 模 拟 量 或 数 字 量 输 入 点 ) 开 始, 而 输 出 通 道 号 从 64( 对 应 第 一 个 模 拟 量 或 数 字 量 输 出 点 ) 开 始 如 果 设 备 同 时 包 含 输 入 和 输 出, 则 需 要 使 用 不 同 的 偏 移 量 来 区 分 它 们 如 果 错 误 影 响 了 整 个 设 备 或 功 能 单 元, 例 如, 缺 少 用 户 电 源, 则 置 位 通 道 号 ( 通 道 号 32768) 字 的 最 高 有 效 位 监 视 循 环 时 间 循 环 时 间 是 指 CPU 操 作 系 统 在 RUN 模 式 下 执 行 循 环 阶 段 所 需 的 时 间 CPU 提 供 了 两 种 监 视 循 环 时 间 的 方 法 : 最 大 扫 描 周 期 时 间 固 定 最 小 扫 描 周 期 时 间 扫 描 周 期 监 视 在 启 动 事 件 完 成 后 开 始 此 功 能 的 组 态 出 现 在 CPU 设 备 配 置 (Device Configuration) 的 循 环 时 间 (Cycle time) 下 CPU 始 终 监 视 扫 描 周 期, 并 在 超 出 最 大 扫 描 周 期 时 间 时 做 出 响 应 如 果 超 出 组 态 的 最 大 扫 描 周 期 时 间, 将 生 成 错 误, 并 按 以 下 两 种 方 法 之 一 对 该 错 误 进 行 处 理 : 如 果 不 存 在 时 间 错 误 中 断 OB 80, 则 CPU 生 成 错 误 并 继 续 执 行 用 户 程 序 如 果 存 在 时 间 错 误 中 断 OB 80, 则 CPU 将 执 行 OB 80 RE_TRIGR 指 令 ( 重 新 触 发 循 环 时 间 监 视 ) 可 用 于 复 位 记 录 循 环 时 间 的 定 时 器 然 而, 该 指 令 只 有 在 程 序 循 环 OB 中 执 行 时 才 起 作 用 ; 在 OB 80 中 执 行 时,RE_TRIGR 指 令 将 被 忽 略 如 果 在 同 一 程 序 循 环 中 两 次 超 出 最 大 扫 描 周 期 时 间, 且 两 次 之 间 未 执 行 50 系 统 手 册, 11/2009, A5E02486685-02

PLC 概 念 3.1 用 户 程 序 的 执 行 RE_TRIGR 指 令, 则 CPU 将 立 即 切 换 到 STOP 模 式 如 果 反 复 执 行 RE_TRIGR 指 令, 可 能 会 导 致 死 循 环 或 扫 描 时 间 非 常 长 通 常, 扫 描 周 期 会 尽 快 执 行, 当 前 扫 描 周 期 一 完 成, 下 一 个 扫 描 周 期 就 会 开 始 视 用 户 程 序 和 通 信 任 务 而 定, 扫 描 周 期 的 时 间 段 在 各 次 扫 描 中 有 所 不 同 为 了 消 除 这 种 差 异, CPU 支 持 一 种 可 选 的 固 定 最 小 扫 描 周 期 时 间 ( 也 称 为 固 定 扫 描 周 期 ) 如 果 启 用 了 此 可 选 功 能 并 且 固 定 最 小 扫 描 周 期 时 间 的 单 位 为 ms, 则 CPU 将 使 完 成 每 次 CPU 扫 描 的 最 小 循 环 时 间 保 持 在 ±1 ms 的 范 围 内 如 果 CPU 完 成 正 常 扫 描 周 期 的 时 间 小 于 指 定 的 最 小 循 环 时 间, 则 CPU 将 用 额 外 的 扫 描 周 期 时 间 执 行 运 行 诊 断 和 / 或 处 理 通 信 请 求 这 样,CPU 将 始 终 花 费 固 定 的 时 间 量 来 完 成 扫 描 周 期 如 果 CPU 在 指 定 的 最 小 循 环 时 间 内 未 完 成 扫 描 周 期,CPU 将 正 常 完 成 扫 描 ( 包 括 通 信 处 理 ), 并 且 不 会 因 超 出 最 小 扫 描 时 间 而 引 起 任 何 系 统 响 应 下 表 定 义 了 循 环 时 间 监 视 功 能 的 值 范 围 和 默 认 值 循 环 时 间 值 范 围 (ms) 默 认 值 最 大 扫 描 周 期 时 间 1 1 到 6000 150 ms 固 定 最 小 扫 描 周 期 时 间 2 1 到 最 大 扫 描 周 期 时 间 禁 用 1 最 大 扫 描 周 期 时 间 始 终 启 用 请 组 态 一 个 1 ms 到 6000 ms 之 间 的 周 期 时 间 默 认 值 为 150 ms 2 固 定 最 小 扫 描 周 期 时 间 是 可 选 的, 默 认 情 况 下 被 禁 用 必 要 时, 可 组 态 一 个 1 ms 到 6000 ms 之 间 的 周 期 时 间 组 态 循 环 时 间 和 通 信 负 载 利 用 设 备 配 置 中 的 CPU 属 性 可 以 组 态 以 下 参 数 : 循 环 时 间 (Cycle time): 可 以 输 入 最 大 扫 描 周 期 时 间 也 可 以 输 入 固 定 最 小 扫 描 周 期 时 间 系 统 手 册, 11/2009, A5E02486685-02 51

PLC 概 念 3.1 用 户 程 序 的 执 行 通 信 负 载 (Communications load): 可 以 组 态 一 个 百 分 比 时 间, 专 门 用 于 通 信 任 务 有 关 扫 描 周 期 的 更 多 信 息, 请 参 见 监 视 循 环 时 间 ( 页 45) 3.1.3 CPU 存 储 器 存 储 器 管 理 CPU 提 供 了 以 下 用 于 存 储 用 户 程 序 数 据 和 组 态 的 存 储 区 : 装 载 存 储 器, 用 于 非 易 失 性 地 存 储 用 户 程 序 数 据 和 组 态 项 目 被 下 载 到 CPU 后, 首 先 存 储 在 装 载 存 储 区 中 该 存 储 区 位 于 存 储 卡 ( 如 存 在 ) 或 CPU 中 该 非 易 失 性 存 储 区 能 够 在 断 电 后 继 续 保 持 存 储 卡 支 持 的 存 储 空 间 比 CPU 内 置 的 存 储 空 间 更 大 工 作 存 储 器 是 易 失 性 存 储 器, 用 于 在 执 行 用 户 程 序 时 存 储 用 户 项 目 的 某 些 内 容 CPU 会 将 一 些 项 目 内 容 从 装 载 存 储 器 复 制 到 工 作 存 储 器 中 该 易 失 性 存 储 区 将 在 断 电 后 丢 失, 而 在 恢 复 供 电 时 由 CPU 恢 复 保 持 性 存 储 器, 用 于 非 易 失 性 地 存 储 限 量 的 工 作 存 储 器 值 保 持 性 存 储 区 用 于 在 断 电 时 存 储 所 选 用 户 存 储 单 元 的 值 发 生 掉 电 时,CPU 留 出 了 足 够 的 缓 冲 时 间 来 保 存 几 个 有 限 的 指 定 单 元 的 值 这 些 保 持 性 值 随 后 在 上 电 时 进 行 恢 复 要 显 示 当 前 项 目 的 存 储 器 使 用 情 况, 请 右 键 单 击 相 应 CPU( 或 其 中 的 某 个 块 ), 然 后 从 上 下 文 菜 单 中 选 择 资 源 (Resources) 要 显 示 当 前 CPU 的 存 储 器 使 用 情 况, 请 双 击 在 线 和 诊 断 (Online and diagnostics), 展 开 诊 断 (Diagnostics), 然 后 选 择 存 储 器 (Memory) 52 系 统 手 册, 11/2009, A5E02486685-02

PLC 概 念 3.1 用 户 程 序 的 执 行 保 持 性 存 储 器 通 过 将 某 些 数 据 标 记 为 保 持 性 数 据 可 以 避 免 在 出 现 电 源 故 障 后 导 致 数 据 丢 失 以 下 数 据 可 以 组 态 为 保 持 性 数 据 : 位 存 储 器 (M): 可 以 在 PLC 变 量 表 或 分 配 列 表 中 定 义 位 存 储 器 的 具 体 存 储 器 宽 度 保 持 性 位 存 储 器 总 是 从 MB0 开 始 向 上 连 续 贯 穿 指 定 的 字 节 数 通 过 PLC 变 量 表 或 在 分 配 列 表 中 通 过 单 击 保 持 性 (Retain) 工 具 栏 图 标 指 定 该 值 输 入 从 MB0 开 始 保 留 的 M 字 节 个 数 功 能 块 (FB) 的 变 量 : 如 果 FB 是 在 选 中 仅 符 号 访 问 (Symbolic access only) 框 的 情 况 下 创 建 的, 则 该 FB 的 接 口 编 辑 器 将 包 括 保 持 性 (Retain) 列 在 该 列 中, 可 以 为 各 变 量 单 独 选 择 保 持 性 (Retain) 或 非 保 持 (Non-Retain) 在 程 序 编 辑 器 中 放 置 该 FB 时 创 建 的 背 景 DB 也 会 显 示 该 保 持 性 列 但 仅 仅 是 显 示, 用 户 无 法 在 组 态 为 仅 符 号 访 问 (Symbolic access only) 的 FB 的 背 景 DB 接 口 编 辑 器 中 更 改 保 持 性 状 态 如 果 FB 是 在 未 选 择 仅 符 号 访 问 (Symbolic access only) 框 的 情 况 下 创 建 的, 则 该 FB 的 接 口 编 辑 器 不 会 包 括 保 持 性 (Retain) 列 在 程 序 编 辑 器 中 插 入 该 FB 时 创 建 的 背 景 DB 会 显 示 保 持 性 (Retain) 列, 并 且 该 列 可 以 编 辑 在 这 种 情 况 下, 为 任 何 变 量 选 择 保 持 性 (Retain) 选 项 都 会 导 致 选 择 所 有 变 量 同 样, 为 任 何 变 量 取 消 选 择 该 选 项 都 会 导 致 取 消 选 择 所 有 变 量 对 于 组 态 为 非 仅 符 号 访 问 (Symbolic access only) 的 FB, 可 以 在 背 景 DB 编 辑 器 中 更 改 保 持 性 状 态, 但 所 有 变 量 同 时 会 被 设 置 为 相 同 的 保 持 性 状 态 创 建 FB 后, 无 法 更 改 仅 符 号 访 问 (Symbolic access only) 的 选 项 该 选 项 只 能 在 创 建 FB 时 选 择 要 确 定 现 有 FB 是 否 组 态 了 仅 符 号 访 问 (Symbolic access only), 请 在 项 目 树 中 右 键 单 击 该 FB, 选 择 属 性 (Properties), 然 后 选 择 特 性 (Attributes) 全 局 数 据 块 的 变 量 : 在 保 持 性 状 态 分 配 方 面, 全 局 DB 与 FB 类 似 根 据 符 号 寻 址 的 设 置 情 况, 用 户 可 以 为 全 局 数 据 块 的 单 个 变 量 或 所 有 变 量 定 义 保 持 性 状 态 如 果 选 中 DB 的 仅 符 号 访 问 (Symbolic access only) 属 性, 则 可 以 为 各 个 变 量 设 置 保 持 性 状 态 如 果 未 选 中 DB 的 仅 符 号 访 问 (Symbolic access only) 属 性, 则 保 持 性 状 态 设 置 将 应 用 于 该 DB 的 所 有 变 量 ; 即 变 量 或 是 都 有 保 持 性, 或 是 都 没 有 总 共 2048 个 字 节 的 数 据 可 以 具 有 保 持 性 要 了 解 可 用 保 持 性 字 节 数, 请 在 PLC 变 量 表 或 分 配 列 表 中 单 击 保 持 性 (Retain) 工 具 栏 图 标 尽 管 这 里 是 为 M 存 储 器 指 定 保 持 性 范 围 的 地 方, 但 第 二 个 箭 头 会 指 示 可 用 于 M 和 DB 的 总 剩 余 存 储 空 间 系 统 手 册, 11/2009, A5E02486685-02 53

PLC 概 念 3.1 用 户 程 序 的 执 行 诊 断 缓 冲 区 CPU 支 持 的 诊 断 缓 冲 区 包 含 有 与 诊 断 事 件 一 一 对 应 的 条 目 每 个 条 目 都 包 含 了 事 件 发 生 的 日 期 和 时 间 事 件 类 别 及 事 件 描 述 条 目 按 时 间 顺 序 显 示, 最 新 发 生 的 事 件 位 于 最 上 面 在 CPU 保 持 通 电 时, 该 日 志 最 多 可 提 供 50 个 最 新 发 生 的 事 件 日 志 填 满 后, 新 事 件 将 替 换 日 志 中 最 早 的 事 件 掉 电 时, 将 保 存 最 新 发 生 的 十 个 事 件 诊 断 缓 冲 区 中 记 录 以 下 事 件 类 型 : 所 有 系 统 诊 断 事 件 ; 例 如,CPU 错 误 和 模 块 错 误 CPU 的 每 次 状 态 切 换 ( 每 次 上 电 每 次 切 换 到 STOP 模 式 每 次 切 换 到 RUN 模 式 ) 必 须 在 线 访 问 诊 断 缓 冲 区 可 在 在 线 和 诊 断 / 诊 断 / 诊 断 缓 冲 区 (Online & diagnostics / Diagnostics / Diagnostics buffer) 下 查 找 该 日 志 有 关 故 障 排 除 和 调 试 的 更 多 信 息, 请 参 考 在 线 和 诊 断 章 节 日 时 钟 CPU 支 持 日 时 钟 在 CPU 断 电 期 间, 超 级 电 容 器 提 供 时 钟 继 续 运 行 所 需 的 电 能 超 级 电 容 器 在 CPU 通 电 时 充 电 在 CPU 通 电 至 少 2 小 时 之 后, 超 级 电 容 器 所 具 有 的 电 量 通 常 足 以 维 持 时 钟 运 行 10 天 日 时 钟 被 设 置 为 系 统 时 间, 该 时 间 是 协 调 世 界 时 (UTC, Coordinated Universal Time) STEP 7 Basic 将 日 时 钟 设 置 为 系 统 时 间 有 相 关 指 令 用 于 读 取 系 统 时 间 (RD_SYS_T) 或 本 地 时 间 (RD_LOC_T) 通 过 使 用 用 户 在 CPU 时 钟 设 备 配 置 中 设 置 的 时 区 和 夏 令 时 偏 移 量 计 算 本 地 时 间 可 在 日 时 钟 (Time of day) 属 性 下 组 态 CPU 的 日 时 钟 还 可 以 启 用 夏 令 时, 并 指 定 夏 令 时 的 开 始 时 间 和 结 束 时 间 要 设 置 日 时 钟, 必 须 在 线 并 且 处 于 CPU 的 在 线 和 诊 断 (Online & diagnostics) 视 图 中 然 后 使 用 设 置 日 时 钟 (Set time of day) 功 能 54 系 统 手 册, 11/2009, A5E02486685-02