52C-14266-5



Similar documents
/ XY 24 Z 25 XYZ

邏輯分析儀的概念與原理-展示版

/ vs

55C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

公安机关业务管理与执法实务全书(八).doc

PCI Express

深圳市国际招标有限公司

Agenda PXI PXI

Microsoft PowerPoint - STU_EC_Ch01.ppt


Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows Vista 是 Microsoft Corporat

GJB128A.PDF

目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

2002/06/25

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

由 于 该 采 用 了 精 密 的 RF 设 计, 因 而 还 具 有 非 常 出 色 的 宽 带 噪 声 指 标 ( 典 型 值 < 160 dbc (1 Hz) 1 GHz 载 波 频 率 ), 而 要 达 到 同 样 大 小 的 值, 传 统 发 生 器 一 般 只 有 在 频 率 合 成 器

untitled

RF & MICROWAVE COMPONENTS

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

EMI LOOPS FILTERING EMI ferrite noise suppressors

P3B-F Pentium III/II/Celeron TM

Microsoft Word 電子構裝結構分析1221.doc

(Quad-Core Intel Xeon 2.0GHz) ()(SAS) (Quad-Core Intel Xeon 2.0GHz) (Windows )(Serial ATA) (Quad-Core Intel Xeon 2.0GHz) (Linux)(Serial ATA)

P3C2000 JumperFree TM Camino

HDMI HDMI Licensing HDMI / 29% 11%27% 7%13%8% 5% 5000 HDMI DVD A/V / HDMI Media Center PC HDMI FCC (digital cable-ready)36 50%

P3V4X JumperFree TM

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

热设计网

(按 Ctrl + F2 可編輯頁首資料)

IBM System x 系列手册

行业

発表の概要

untitled

CONFIGURATION

高频电疗法

P4i45GL_GV-R50-CN.p65

LHAASO-WFCTA SiPM成像探头研制进展

untitled

Microsoft PowerPoint - ch2-stallings.ppt

行业


前言

行业

《计算机应用基础》学习材料(讲义)

國家圖書館典藏電子全文

ADLINK Company Profile

附件1:

untitled

スライド 1

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074>

untitled

行业

untitled

AMP NETCONNECT

enews174_2

untitled

r_09hr_practical_guide_kor.pdf

Ps22Pdf

!!

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94

TDR Z L ( Z O Z L (TDR) TDR V reflected (Z ρ = = L Z 0 ) V incident (Z L + Z 0 ) 1 TDR ( Z L Z O V reflected 0 ( 0 1. TDR TDR ( -1 TDR TDR TDR

Ch03_嵌入式作業系統建置_01

(Microsoft Word - \267s\274W Microsoft Office Word \244\345\245\363)

多核心CPU成長日記.doc

補 充 資 料

感 谢 您 选 购 安 富 莱 电 子 的 产 品! 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 是 一 款 基 于 USB2.0 高 速 接 口 的 虚 拟 仪 器, 是 电 子 工 程 师 和 软 件 工 程 师 常 用 的 一 种 电 路 分 析 和 软 件 协 议 分 析

K7M SLOT 1

A5katalog_fina CNl.indd

(Microsoft Word - 92\246~\263\370)

行业

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

版本说明书

Microsoft Word - A doc

<4D F736F F D20B7A2D0D0B9C9B7DDBCB0D6A7B8B6CFD6BDF0B9BAC2F2D7CAB2FAB2A2C4BCBCAFC5E4CCD7D7CABDF0F4DFB9D8C1AABDBBD2D7D6AEB6C0C1A2B2C6CEF1B9CBCECAB1A8B8E6A3A8D0DEB6A9B8E5A3A9>

AP128DG-H AP128DG-H 3 13 ATiRADEON TM Win 98/98SE, WinME Win XP Direct X

untitled

1 CPU

一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 : 傳 真 : 電 子 郵 件 信 箱

Keysight RF ps 350 MHz 6 15 GHz ps 75,000 90,000 Datalog 1M USB LXI-C/Ethernet LANUSBGPIB MDA / 350 MHz 53210A, 53220A, 53210A, 5322

場效電晶體簡介.doc

. I/O Third Generation Input Output 3GIO PCI Express 3D 10GHz CPU 1Gb Gbps QoS PCI. PCI Express PCI 10 AGP PCI-X HyperTransport PCI 133MB Mu

行业

02 看 見 躍 動 的 創 新 力 量 04 矽 數 十 年 金 矽 創 意 十 年 有 成 16 築 夢 之 際 你 所 不 知 道 的 金 矽 獎 40 樂 在 其 中

QIANZHENYU 2

_3_業強91年上櫃[財報]-1.doc

相 关 知 识 1 计 算 机 工 作 原 理 1946 年 2 月, 世 界 上 第 一 台 电 子 计 算 机 ENIAC (Electronic Numerical Integrator And Computer, 电 子 数 字 积 分 计 算 机 ) 诞 生 于 美 国 宾 夕 法 尼 亚

時脈樹設計原則

untitled

LP / / / / / /

untitled

1 1

第一章标准答案.doc

附录八:验收监测表格式

0523内页正稿-改风格

CARNet Wi-Fi Tx/Rx:SS * n 2x2: Mbps ac 3x3: Mbps n 3x3: Mbps 3 Aruba ac 3x3: Mbps

Transcription:

逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15

入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状 态 数 据 和 定 时 数 据 8 同 时 采 集 状 态 数 据 和 定 时 数 据 8 实 时 采 集 存 储 器 9 模 数 集 成 调 试 工 具 11 逻 辑 分 析 仪 测 量 实 例 16-20 进 行 通 用 定 时 测 量 16 检 测 和 显 示 间 歇 性 毛 刺 17 捕 获 建 立 时 间 和 保 持 时 间 违 规 18 使 用 跳 变 存 储 最 大 限 度 地 提 高 可 用 记 录 长 度 19 逻 辑 分 析 仪 应 用 实 例 20-26 FPGA 20 存 储 器 23 信 号 完 整 性 23 串 行 数 据 24 小 结 26 术 语 表 27-30 分 析 和 显 示 结 果 12 波 形 显 示 12 列 表 显 示 12 自 动 测 量 13 性 能 指 标 和 考 虑 因 素 14-15 定 时 采 集 速 率 14 状 态 采 集 速 率 14 MagniVu 采 集 速 率 14 记 录 长 度 14 通 道 数 量 和 模 块 化 15 触 发 15 探 测 15 2 www.tektronix.com.cn/logic_analyzers

引 言 与 许 多 电 子 测 试 和 测 量 工 具 一 样, 逻 辑 分 析 仪 是 一 种 针 对 特 定 类 型 问 题 的 解 决 方 案 它 是 一 种 通 用 工 具, 可 以 帮 助 您 调 试 数 字 硬 件 检 验 设 计 和 调 试 嵌 入 式 软 件 对 设 计 数 字 电 路 的 工 程 师 来 说, 逻 辑 分 析 仪 是 一 种 不 可 或 缺 的 工 具 逻 辑 分 析 仪 用 于 涉 及 大 量 信 号 或 挑 战 性 触 发 要 求 的 数 字 测 量 我 们 将 首 先 考 察 数 字 示 波 器 及 逻 辑 分 析 仪 的 演 进 然 后, 我 们 将 介 绍 基 本 逻 辑 分 析 仪 的 构 成 要 素 在 有 了 这 些 基 础 知 识 后, 我 们 将 介 绍 逻 辑 分 析 仪 有 哪 些 重 要 功 能, 及 其 为 什 么 在 为 特 定 应 用 选 择 适 当 工 具 时 发 挥 重 要 作 用 起 源 逻 辑 分 析 仪 几 乎 是 与 最 早 上 市 的 商 用 微 处 理 器 同 时 演 进 的 基 于 这 些 新 器 件 设 计 系 统 的 工 程 师 们 很 快 发 现, 调 试 微 处 理 器 设 计 要 求 的 输 入 数 量 超 出 了 示 波 器 能 够 提 供 的 数 量 逻 辑 分 析 仪 拥 有 多 个 输 入, 解 决 了 这 个 问 题 这 些 仪 器 的 采 集 速 率 和 通 道 数 量 稳 步 提 高, 以 跟 上 数 字 技 术 的 快 速 发 展 步 伐 逻 辑 分 析 仪 是 数 字 系 统 开 发 的 关 键 工 具 示 波 器 和 逻 辑 分 析 仪 之 间 有 许 多 类 似 之 处 和 差 异 为 更 好 地 了 解 这 两 种 仪 器 怎 样 满 足 各 自 的 应 用 需 求, 有 必 要 比 较 一 下 它 们 的 功 能 图 1. 示 波 器 详 细 揭 示 信 号 幅 度 上 升 时 间 及 其 它 模 拟 特 点 数 字 示 波 器 数 字 示 波 器 是 观 察 通 用 信 号 的 基 本 工 具 其 高 采 样 率 和 带 宽, 使 其 能 够 捕 获 一 个 时 间 跨 度 中 的 许 多 数 据 点, 可 以 测 量 信 号 跳 变 ( 边 沿 ) 瞬 态 事 件 及 小 的 时 间 增 量 示 波 器 当 然 也 能 像 逻 辑 分 析 仪 一 样 查 看 数 字 信 号, 但 大 多 数 示 波 器 用 户 主 要 考 察 模 拟 指 标, 如 上 升 时 间 和 下 降 时 间 峰 值 幅 度 及 边 沿 间 的 时 间 看 一 下 图 1 中 的 波 形, 可 以 看 出 示 波 器 的 优 势 尽 管 这 个 波 形 是 从 数 字 电 路 中 获 得 的, 但 它 揭 示 了 信 号 的 模 拟 特 点, 所 有 这 些 特 点 都 影 响 信 号 执 行 功 能 的 能 力 这 里, 示 波 器 捕 获 了 详 细 的 信 息, 揭 示 了 振 铃 过 冲 上 升 沿 滚 降 及 定 期 出 现 的 其 它 异 常 事 件 www.tektronix.com.cn/logic_analyzers 3

入 门 手 册 什 么 时 候 应 该 使 用 示 波 器? 如 果 需 要 一 次 测 量 许 多 信 号 的 模 拟 特 点, 那 么 数 字 示 波 器 是 最 高 效 的 解 决 方 案 在 您 需 要 了 解 特 定 的 信 号 幅 度 功 率 电 流 或 相 位 值 或 上 升 时 间 等 边 沿 指 标 时, 应 选 择 使 用 示 波 器 在 下 述 情 况 下 使 用 数 字 示 波 器 : 在 检 验 模 拟 器 件 和 数 字 器 件 期 间, 检 定 信 号 完 整 性 ( 如 上 升 时 间 过 冲 和 振 铃 ) 一 次 在 最 多 四 个 信 号 上 检 定 信 号 稳 定 性 ( 如 抖 动 和 抖 动 频 谱 ) 测 量 信 号 边 沿 和 电 压, 评 估 定 时 余 量, 如 建 立 时 间 / 保 持 时 间 传 播 延 迟 检 测 瞬 态 问 题, 如 毛 刺 欠 幅 脉 冲 亚 稳 定 跳 变 一 次 在 多 个 信 号 上 测 量 幅 度 和 定 时 参 数 什 么 时 候 应 该 使 用 逻 辑 分 析 仪? 逻 辑 分 析 仪 特 别 适 合 检 验 和 调 试 数 字 设 计 逻 辑 分 析 仪 检 验 数 字 电 路 正 常 工 作, 帮 助 您 调 试 出 现 的 问 题 逻 辑 分 析 仪 一 次 捕 获 和 显 示 多 个 信 号, 分 析 信 号 之 间 的 定 时 关 系 为 调 试 难 检 的 间 歇 性 问 题, 某 些 逻 辑 分 析 仪 可 以 检 测 毛 刺 及 建 立 时 间 和 保 持 时 间 违 规 在 软 件 / 硬 件 集 成 过 程 中, 逻 辑 分 析 仪 追 踪 嵌 入 式 软 件 的 执 行 情 况, 分 析 程 序 的 执 行 效 率 某 些 逻 辑 分 析 仪 把 源 代 码 与 设 计 中 的 特 定 硬 件 活 动 关 联 起 来 在 下 述 情 况 下 使 用 逻 辑 分 析 仪 : 需 要 调 试 和 检 验 数 字 系 统 操 作 时 需 要 同 时 追 踪 和 关 联 多 个 数 字 信 号 时 需 要 检 测 和 分 析 总 线 上 的 定 时 违 规 和 瞬 态 事 件 时 需 要 追 踪 嵌 入 式 软 件 执 行 情 况 时 借 助 示 波 器 的 内 置 工 具, 如 光 标 和 自 动 测 量, 可 以 简 便 地 追 踪 可 能 影 响 设 计 的 信 号 完 整 性 问 题 此 外, 定 时 测 量 如 传 播 延 迟 和 建 立 时 间 和 保 持 时 间 都 首 选 使 用 示 波 器 当 然, 有 许 多 纯 模 拟 信 号, 麦 克 风 或 数 模 转 换 器 输 出, 必 须 使 用 记 录 模 拟 细 节 的 仪 器 查 看 这 些 信 号 示 波 器 一 般 有 最 多 四 条 输 入 通 道 在 您 需 要 同 时 测 量 五 个 数 字 信 号, 或 需 要 测 量 采 用 32 位 数 据 总 线 和 64 位 地 址 总 线 的 数 字 系 统 时, 会 发 生 什 么 情 况 呢? 这 就 需 要 一 种 拥 有 多 得 多 输 入 的 工 具 - 逻 辑 分 析 仪 逻 辑 分 析 仪 逻 辑 分 析 仪 拥 有 与 示 波 器 不 同 的 功 能 这 两 种 仪 器 之 间 最 明 显 的 差 异 是 通 道 ( 输 入 ) 数 量 普 通 数 字 示 波 器 拥 有 最 多 四 个 信 号 输 入 逻 辑 分 析 仪 一 般 拥 有 34-136 条 通 道 每 条 通 道 输 入 一 个 数 字 信 号 某 些 复 杂 的 系 统 设 计 要 求 几 千 条 输 入 通 道 也 可 以 使 用 近 似 规 模 的 逻 辑 分 析 仪 完 成 这 些 任 务 4 www.tektronix.com.cn/logic_analyzers

逻 辑 分 析 仪 操 作 逻 辑 1 逻 辑 0 图 2. 逻 辑 分 析 仪 确 定 相 对 于 门 限 电 压 电 平 的 逻 辑 值 逻 辑 分 析 仪 连 接 采 集 和 分 析 数 字 信 号 使 用 逻 辑 分 析 仪 分 成 四 步, 如 图 3 所 示 1 连 接 2 设 置 3 采 集 4 分 析 逻 辑 分 析 仪 测 量 和 分 析 信 号 的 方 式 不 同 于 示 波 器 逻 辑 分 析 仪 不 测 量 模 拟 细 节, 而 是 检 测 逻 辑 门 限 电 平 在 把 逻 辑 分 析 仪 连 接 到 数 字 电 路 上 时, 您 只 关 心 信 号 的 逻 辑 状 态 逻 辑 分 析 仪 只 查 找 两 种 逻 辑 电 平, 如 图 2 所 示 在 输 入 高 于 门 限 电 压 (V) 时, 电 平 称 为 高 或 1 ; 相 反, 当 电 平 低 于 Vth 时, 则 称 为 低 或 0 在 逻 辑 分 析 仪 对 输 入 采 样 时, 它 根 据 相 对 于 电 压 门 限 的 信 号 电 平, 来 存 储 1 或 0 逻 辑 分 析 仪 的 波 形 定 时 显 示 与 产 品 技 术 资 料 或 仿 真 器 生 成 的 定 时 图 类 似 所 有 信 号 都 实 现 时 间 相 关, 因 此 可 以 查 看 建 立 时 间 和 保 持 时 间 脉 宽 外 来 数 据 或 丢 失 数 据 除 通 道 数 量 高 外, 逻 辑 分 析 仪 提 供 了 支 持 数 字 设 计 检 验 和 调 试 的 重 要 功 能, 包 括 : 完 善 的 触 发 功 能, 允 许 指 定 逻 辑 分 析 仪 在 什 么 条 件 下 采 集 数 据 高 密 度 探 头 和 适 配 器, 简 化 与 被 测 系 统 (SUT) 的 连 接 分 析 功 能, 把 捕 获 的 数 据 转 换 成 处 理 器 指 令, 把 其 与 源 代 码 关 联 起 来 第 1 步 第 2 步 第 3 步 第 4 步 连 接 设 置 采 集 分 析 图 3. 简 化 的 逻 辑 分 析 仪 操 作 连 接 被 测 系 统 探 头 逻 辑 分 析 仪 一 次 可 以 捕 获 大 量 的 信 号, 这 是 其 较 示 波 器 的 主 要 优 势 采 集 探 头 连 接 到 SUT 上 探 头 在 内 部 比 较 器 上, 把 输 入 电 压 与 门 限 电 压 (Vth) 进 行 比 较, 作 出 与 信 号 逻 辑 状 态 (1 或 0) 有 关 的 决 策 门 限 值 由 用 户 设 置, 范 围 为 TTL 电 平 到 CMOS ECL 和 用 户 自 定 义 门 限 www.tektronix.com.cn/logic_analyzers 5

入 门 手 册 实 际 上 升 时 间 观 察 到 的 上 升 时 间 ( 使 用 大 的 电 容 负 载 ) 图 7. 逻 辑 分 析 仪 探 头 阻 抗 可 能 会 影 响 信 号 上 升 时 间 和 测 量 定 时 关 系 图 4. 通 用 探 头 图 5. 高 密 度 多 通 道 逻 辑 分 析 仪 探 头 逻 辑 分 析 仪 探 头 分 成 许 多 物 理 形 式 : 通 用 探 头, 带 有 飞 线 束, 用 于 点 到 点 调 试, 如 图 4 高 密 度 多 通 道 探 头, 在 电 路 板 上 要 求 专 用 连 接 器, 如 图 5 探 头 能 够 采 集 高 质 量 信 号, 对 SUT 的 影 响 最 小 使 用 无 连 接 器 探 头 的 高 密 度 压 缩 探 头 的 连 接 方 式 如 图 6 所 示 这 种 探 头 建 议 用 于 要 求 更 高 信 号 密 度 或 无 连 接 器 探 头 连 接 机 制 的 应 用, 以 迅 速 可 靠 地 连 接 被 测 系 统 逻 辑 分 析 仪 探 头 阻 抗 ( 电 容 电 阻 和 电 感 ) 成 为 被 测 电 路 上 整 体 负 荷 的 一 部 分 所 有 探 头 都 表 现 出 负 荷 特 点 逻 辑 分 析 仪 探 头 给 SUT 引 入 的 负 荷 应 达 到 最 小, 并 为 逻 辑 分 析 仪 提 供 准 确 的 信 号 探 头 电 容 一 般 会 滚 降 信 号 跳 变 边 沿, 如 图 7 所 示 这 种 滚 降 会 降 慢 边 沿 跳 变, 下 降 的 时 间 用 t? 表 示, 如 图 7 所 示 为 什 么 电 容 这 么 重 要 呢? 因 为 边 沿 越 慢, 越 过 电 路 逻 辑 门 限 的 时 间 越 晚, 进 而 会 在 SUT 中 引 入 定 时 误 差 随 着 时 钟 速 率 提 高, 这 个 问 题 会 变 得 更 加 严 重 在 高 速 系 统 中, 探 头 电 容 过 高 可 能 会 使 SUT 不 能 运 行! 应 一 直 选 择 总 电 容 最 低 的 探 头 还 应 指 出 的 是, 探 头 夹 和 引 线 束 会 提 高 其 连 接 的 电 路 上 的 电 容 负 荷 应 尽 可 能 使 用 正 确 补 偿 的 适 配 器 图 6. D-Max TM 无 连 接 器 分 析 仪 探 头 6 www.tektronix.com.cn/logic_analyzers

设 置 逻 辑 分 析 仪 设 置 时 钟 模 式 时 钟 模 式 选 择 逻 辑 分 析 仪 是 为 从 多 引 脚 器 件 和 总 线 中 捕 获 数 据 设 计 的 捕 获 速 率 指 输 入 采 样 的 频 次, 其 功 能 与 示 波 器 中 的 时 基 相 同 注 意 在 描 述 逻 辑 分 析 仪 操 作 时, 采 样 采 集 和 捕 获 通 常 会 互 换 使 用 数 据 采 集 或 时 钟 模 式 分 成 两 类 : 定 时 采 集 模 式 捕 获 信 号 定 时 信 息 在 这 种 模 式 下, 使 用 逻 辑 分 析 仪 内 部 时 钟 对 数 据 采 样 数 据 采 样 速 度 越 快, 测 量 分 辨 率 越 高 目 标 设 备 和 逻 辑 分 析 仪 采 集 的 数 据 之 间 没 有 固 定 的 定 时 关 系 这 种 采 集 模 式 主 要 用 于 SUT 信 号 之 间 定 时 关 系 至 关 重 要 的 情 况 状 态 采 集 模 式 用 来 采 集 SUT 的 状 态 来 自 SUT 的 信 号 定 义 采 样 点 ( 采 集 数 据 的 时 间 和 频 次 ) 为 采 集 输 入 时 钟 使 用 的 信 号 可 以 是 系 统 时 钟 总 线 上 的 控 制 信 号 或 导 致 SUT 改 变 状 态 的 信 号 它 在 活 动 边 沿 上 采 样 数 据, 在 逻 辑 信 号 稳 定 时 表 示 SUT 的 情 况 逻 辑 分 析 仪 在 且 只 在 选 择 的 信 号 有 效 时 采 样 这 里 不 关 心 时 钟 事 件 之 间 发 生 的 情 况 怎 样 确 定 使 用 哪 类 采 集 呢? 这 要 看 您 怎 样 查 看 数 据 如 果 想 捕 获 一 长 串 定 时 细 节 记 录, 那 么 应 使 用 定 时 采 集 或 内 部 ( 或 异 步 ) 时 钟 您 也 可 能 想 在 SUT 看 到 时 采 集 数 据 在 这 种 情 况 下, 应 选 择 状 态 ( 同 步 ) 采 集 在 状 态 采 集 中, 将 在 列 表 窗 口 中 顺 序 显 示 SUT 的 每 个 连 续 状 态 状 态 采 集 使 用 的 外 部 时 钟 信 号 可 以 是 任 何 相 关 信 号 时 钟 模 式 设 置 技 巧 在 设 置 逻 辑 分 析 仪 采 集 数 据 时, 可 以 遵 循 一 些 通 用 的 指 导 准 则 : 1. 定 时 ( 异 步 ) 采 集 : 采 样 时 钟 速 率 在 确 定 采 集 分 辨 率 中 发 挥 着 重 要 作 用 任 何 测 量 的 定 时 精 度 总 是 一 个 采 样 间 隔 加 上 制 造 商 指 定 的 其 它 误 差 例 如, 在 采 样 时 钟 速 率 是 2 ns 时, 新 的 数 据 样 点 会 每 隔 2 ns 存 储 到 采 集 存 储 器 中 直 到 下 一 个 采 样 时 钟 时, 才 会 捕 获 该 采 样 时 钟 后 变 化 的 数 据 由 于 不 知 道 这 2 ns 中 数 据 变 化 的 确 切 时 间, 因 此 净 分 辨 率 是 2 ns 2. 状 态 ( 同 步 ) 采 集 : 在 采 集 状 态 信 息 时, 与 任 何 同 步 设 备 一 样, 逻 辑 分 析 仪 必 须 在 采 样 时 钟 前 和 采 样 时 钟 后, 在 输 入 上 存 在 稳 定 的 数 据, 以 保 证 捕 获 正 确 的 数 据 设 置 触 发 触 发 是 逻 辑 分 析 仪 区 别 于 示 波 器 的 另 一 种 功 能 示 波 器 有 触 发 功 能, 但 其 对 二 进 制 条 件 的 反 应 能 力 相 对 有 限 相 比 之 下, 逻 辑 分 析 仪 可 以 评 估 各 种 逻 辑 ( 布 尔 ) 条 件, 确 定 逻 辑 分 析 仪 什 么 时 候 触 发 触 发 的 目 的 是 选 择 逻 辑 分 析 仪 捕 获 哪 些 数 据 逻 辑 分 析 仪 可 以 追 踪 SUT 逻 辑 状 态, 在 SUT 中 发 生 用 户 自 定 义 事 件 时 触 发 在 讨 论 逻 辑 分 析 仪 时, 必 需 了 解 事 件 一 词 它 有 多 层 意 思 : 它 可 以 是 一 条 信 号 线 路 上 的 简 单 跳 变, 可 以 是 故 意 跳 变 或 无 意 跳 变 如 果 您 正 在 查 找 毛 刺, 那 么 这 是 感 兴 趣 的 事 件 事 件 可 以 是 特 定 信 号 ( 如 Increment 或 Enable) 变 得 有 效 的 时 间 事 件 还 可 以 是 整 个 总 线 中 多 个 信 号 跳 变 组 合 导 致 的 指 定 逻 辑 条 件 但 要 注 意, 在 所 有 情 况 下, 事 件 都 是 信 号 从 一 个 周 期 变 到 下 个 周 期 时 出 现 的 某 种 东 西 www.tektronix.com.cn/logic_analyzers 7

入 门 手 册 重 复 探 头 产 生 混 淆 单 个 探 头 非 常 简 单 状 态 探 头 定 时 / 状 态 探 头 定 时 探 头 图 8. 重 复 探 测 要 求 每 个 测 试 点 上 有 两 个 探 头, 降 低 了 测 量 质 量 图 9. 同 步 探 测 通 过 同 一 只 探 头 提 供 状 态 采 集 和 定 时 采 集, 实 现 了 更 简 单 更 干 净 的 测 量 环 境 可 以 使 用 许 多 条 件, 触 发 逻 辑 分 析 仪 例 如, 逻 辑 分 析 仪 可 以 识 别 总 线 或 计 数 器 输 出 上 的 特 定 二 进 制 值 其 它 触 发 选 择 包 括 : 字 : 用 二 进 制 十 六 进 制 等 定 义 的 特 定 逻 辑 码 型 范 围 : 在 低 值 和 高 值 之 间 发 生 的 多 个 事 件 计 数 器 : 计 数 器 追 踪 的 用 户 编 程 的 事 件 数 量 信 号 : 外 部 信 号, 如 系 统 复 位 毛 刺 : 采 集 之 间 发 生 的 脉 冲 定 时 器 : 定 时 器 追 踪 的 两 个 事 件 之 间 的 时 间 或 单 个 事 件 的 时 间 周 期 模 拟 : 使 用 示 波 器 触 发 模 拟 特 点, 交 叉 触 发 逻 辑 分 析 仪 在 提 供 所 有 这 些 触 发 条 件 时, 可 以 使 用 广 义 的 状 态 问 题 搜 索, 然 后 用 日 益 明 确 的 触 发 条 件 提 炼 搜 索, 追 踪 系 统 错 误 采 集 状 态 和 定 时 数 据 同 时 采 集 状 态 数 据 和 定 时 数 据 在 硬 件 和 软 件 调 试 ( 系 统 集 成 ) 过 程 中, 最 好 拥 有 相 关 的 状 态 和 定 时 信 息 问 题 开 始 时 可 能 会 被 检 测 为 总 线 上 的 无 效 状 态 这 可 能 是 由 建 立 时 间 和 保 持 时 间 违 规 等 问 题 引 起 的 如 果 逻 辑 分 析 仪 不 能 同 时 捕 获 定 时 数 据 和 状 态 数 据, 那 么 隔 离 问 题 将 变 得 很 难, 而 且 会 耗 费 很 长 时 间 某 些 逻 辑 分 析 仪 要 求 连 接 一 只 单 独 的 定 时 探 头, 来 采 集 定 时 信 息, 并 使 用 单 独 的 采 集 硬 件 这 些 仪 器 要 求 一 次 在 SUT 上 连 接 两 只 探 头, 如 图 8 所 示 一 只 探 头 把 SUT 连 接 到 定 时 模 块 上, 第 二 只 探 头 把 相 同 的 测 试 点 连 接 到 状 态 模 块 上 这 称 为 双 重 探 测 这 种 配 置 可 能 会 损 害 信 号 的 阻 抗 环 境 一 次 使 用 两 只 探 头 会 加 重 信 号 负 担, 劣 化 SUT 的 上 升 时 间 和 下 降 时 间 幅 度 和 噪 声 性 能 注 意 图 8 是 只 显 示 了 部 分 代 表 性 的 连 接 的 简 化 示 意 图 在 实 际 测 量 中, 可 能 会 连 接 四 条 八 条 或 更 多 的 多 导 线 电 缆 8 www.tektronix.com.cn/logic_analyzers

最 好 通 过 同 一 只 探 头 同 时 采 集 定 时 数 据 和 状 态 数 据, 如 图 9 所 示 一 条 连 接 一 个 设 置 和 一 个 采 集 同 时 提 供 定 时 和 状 态 数 据, 可 以 简 化 到 探 头 的 机 械 连 接, 减 少 问 题 在 同 时 采 集 定 时 和 状 态 时, 逻 辑 分 析 仪 会 捕 获 同 时 支 持 定 时 分 析 和 状 态 分 析 所 需 的 全 部 信 息, 而 不 需 要 第 二 步, 进 而 会 减 少 重 复 探 测 时 可 能 发 生 的 出 错 机 会 和 机 械 损 坏 一 只 探 头 对 电 路 的 影 响 较 低, 保 证 了 更 准 确 的 测 量 及 对 电 路 操 作 影 响 更 小 输 入 输 入 存 储 深 度 通 道 数 量 定 时 分 辨 率 越 高, 在 设 计 中 可 以 看 到 和 触 发 的 细 节 越 多, 找 到 问 题 的 机 会 也 就 越 大 实 时 采 集 存 储 器 逻 辑 分 析 仪 存 在 着 探 测 系 统 触 发 系 统 和 时 钟 系 统, 为 实 时 采 集 存 储 器 提 供 数 据 这 个 存 储 器 是 仪 器 的 核 心, 其 是 从 SUT 中 采 样 的 所 有 数 据 的 目 的 地, 也 是 所 有 仪 器 分 析 和 显 示 的 源 头 逻 辑 分 析 仪 拥 有 能 够 以 仪 器 采 样 率 存 储 数 据 的 存 储 器 这 个 存 储 器 可 以 视 为 一 个 拥 有 通 道 宽 度 和 存 储 深 度 的 矩 阵, 如 图 10 所 示 仪 器 会 累 积 所 有 信 号 活 动 的 记 录, 直 到 触 发 事 件 或 用 户 告 诉 仪 器 停 止 采 集 其 结 果 即 采 集, 从 本 质 上 看, 这 是 一 个 多 通 道 波 形 画 面, 允 许 您 以 非 常 高 的 定 时 精 度 查 看 已 经 采 集 的 所 有 信 号 的 交 互 情 况 通 道 数 量 和 存 储 深 度 是 选 择 逻 辑 分 析 仪 的 关 键 因 素 下 面 的 部 分 技 巧 可 以 帮 助 您 确 定 通 道 数 量 和 存 储 深 度 : 图 10. 逻 辑 分 析 仪 在 深 存 储 器 中 存 储 采 集 数 据, 每 个 数 字 输 入 都 有 一 条 全 长 通 道 提 供 支 持 您 需 要 捕 获 和 分 析 多 少 信 号? 逻 辑 分 析 仪 的 通 道 数 量 与 您 想 要 捕 获 信 号 数 量 直 接 相 关 数 字 系 统 总 线 分 成 各 种 宽 度, 在 监 测 整 个 总 线 的 同 时, 通 常 需 要 探 测 其 它 信 号 ( 时 钟 启 用 等 等 ) 一 定 要 考 虑 需 要 同 时 采 集 的 所 有 总 线 和 信 号 您 需 要 采 集 多 长 时 间? 这 决 定 着 逻 辑 分 析 仪 的 存 储 深 度 要 求, 对 定 时 采 集 尤 为 重 要 在 存 储 容 量 一 定 时, 采 集 总 时 间 随 着 采 样 率 提 高 而 下 降 例 如, 在 采 样 率 为 1 ms 时,1M 存 储 器 中 存 储 的 数 据 时 间 跨 度 是 1 秒 而 在 采 集 时 钟 周 期 为 10 ns 时, 同 样 1M 存 储 器 的 时 间 跨 度 仅 10 ms 采 集 的 样 点 ( 时 间 ) 越 多, 捕 获 错 误 及 导 致 错 误 的 问 题 的 机 会 越 大 ( 参 见 下 面 的 解 释 ) www.tektronix.com.cn/logic_analyzers 9

入 门 手 册 逻 辑 分 析 仪 对 数 据 连 续 采 样, 填 充 实 时 采 集 存 储 器, 根 据 先 进 先 出 原 则 丢 弃 溢 出 的 数 据, 如 图 11 所 示 因 此, 一 直 有 实 时 数 据 流 经 存 储 器 在 触 发 事 件 发 生 时, 暂 停 流 程 会 开 始, 在 存 储 器 中 保 留 数 据 触 发 在 存 储 器 中 的 位 置 非 常 灵 活, 允 许 捕 获 和 考 察 触 发 事 件 前 触 发 事 件 后 和 触 发 事 件 周 围 发 生 的 事 件 这 是 一 种 重 要 的 调 试 功 能 如 果 触 发 征 兆 ( 通 常 是 某 类 错 误 ), 您 可 以 设 置 逻 辑 分 析 仪, 存 储 触 发 前 的 数 据 ( 触 发 前 数 据 ), 捕 获 导 致 征 兆 的 问 题 您 还 可 以 设 置 逻 辑 分 析 仪, 存 储 触 发 后 特 定 数 量 的 数 据 ( 触 发 后 数 据 ), 查 看 错 误 可 能 会 产 生 哪 些 后 果 还 有 其 它 触 发 位 置 组 合, 如 图 12 和 图 13 所 示 在 设 置 探 测 时 钟 和 触 发 后, 逻 辑 分 析 仪 准 备 运 行 其 结 果 是 装 满 数 据 的 实 时 采 集 存 储 器, 可 以 使 用 这 些 数 据, 通 过 多 种 不 同 方 式 分 析 SUT 中 的 行 为 逻 辑 分 析 仪 的 主 采 集 存 储 器 存 储 完 善 的 长 信 号 活 动 记 录 当 前 某 些 逻 辑 分 析 仪 可 以 以 几 千 兆 赫 的 速 率, 捕 获 几 百 条 通 道 中 的 数 据, 在 长 记 录 长 度 中 累 积 结 果 这 为 概 括 了 解 长 期 总 线 活 动 提 供 了 理 想 的 解 决 方 案 显 示 的 每 个 信 号 跳 变 被 理 解 为 发 生 在 活 动 时 钟 速 率 确 定 的 采 样 间 隔 内 的 某 个 地 方 捕 获 的 边 沿 可 能 发 生 在 前 一 次 采 样 后 几 皮 秒 内, 或 后 一 次 采 样 前 几 皮 秒 内, 或 之 间 的 任 何 地 方 因 此, 采 样 间 隔 决 定 着 仪 器 的 分 辨 率 不 断 演 进 的 高 速 计 算 总 线 和 通 信 设 备 正 在 推 动 着 对 逻 辑 分 析 仪 中 更 好 的 定 时 分 辨 率 的 需 求 泰 克 MagniVu TM 采 集 技 术 是 TLA 系 列 中 的 标 配 功 能, 可 以 解 决 这 个 挑 战 MagniVu 采 集 技 术 依 赖 高 速 缓 冲 存 储 器, 在 触 发 点 周 围 以 更 高 的 间 隔 捕 获 信 息 这 里, 在 存 储 器 填 充 时, 新 的 样 点 会 不 断 替 代 最 老 的 样 点 每 条 丢 弃 运 行 时 采 集 存 储 器 逻 辑 分 析 仪 还 没 有 触 发 ( 连 续 采 集 ) 图 11. 逻 辑 分 析 仪 根 据 先 进 先 出 的 原 则 捕 获 和 丢 弃 数 据, 直 到 发 生 触 发 事 件 丢 弃 触 发 运 行 时 采 集 存 储 器 触 发 位 于 存 储 器 中 间 ( 显 示 触 发 点 前 和 后 发 生 的 事 件 ) 图 12. 捕 获 触 发 周 围 的 数 据 : 触 发 点 左 面 的 数 据 是 触 发 前 数 据, 右 面 的 数 据 是 触 发 后 数 据 触 发 可 以 位 于 存 储 器 0% - 100% 的 任 何 位 置 触 发 触 发 后 数 据 运 行 时 采 集 存 储 器 触 发 被 延 迟 ( 等 待 计 数 或 时 间 停 止 采 集 ) 图 13. 捕 获 触 发 后 特 定 时 间 或 特 定 数 量 的 周 期 发 生 的 数 据 通 道 都 有 自 己 的 MagniVu 缓 冲 存 储 器 MagniVu 采 集 技 术 保 持 跳 变 和 事 件 动 态 的 高 分 辨 率 记 录, 而 使 用 主 存 储 器 采 集 底 层 的 分 辨 率 可 能 是 看 不 到 这 些 跳 变 和 事 件 的 10 www.tektronix.com.cn/logic_analyzers

图 14. MagniVu TM 采 集 显 示 时 钟 信 号 上 的 毛 刺 图 15. 异 常 事 件 的 时 间 相 关 模 拟 数 字 视 图 TLA 系 列 在 检 测 难 检 定 时 错 误 方 面 提 供 了 业 内 领 先 的 能 力, 如 传 统 逻 辑 分 析 仪 检 测 不 到 的 窄 毛 刺 和 建 立 时 间 / 保 持 时 间 违 规,MagniVu 采 集 则 是 这 种 能 力 的 关 键 如 图 14 所 示, 可 以 在 显 示 屏 上 查 看 这 个 高 分 辨 率 记 录, 其 与 主 存 储 器 中 其 它 定 时 波 形 完 美 地 对 准 模 拟 数 字 集 成 调 试 工 具 试 图 追 踪 数 字 错 误 的 设 计 人 员 还 必 须 考 虑 模 拟 域 在 当 前 系 统 中, 由 于 快 速 边 沿 和 数 据 速 率, 数 字 信 号 底 层 的 模 拟 特 点 对 系 统 行 为 的 影 响 正 越 来 越 高, 特 别 是 可 靠 性 和 可 重 复 性 信 号 畸 变 可 能 源 自 模 拟 域 问 题, 如 阻 抗 不 匹 配 传 输 线 效 应 等 等 类 似 的, 信 号 畸 变 可 能 是 数 字 问 题 的 副 产 品, 如 建 立 时 间 和 保 持 时 间 违 规 数 字 信 号 效 应 和 模 拟 信 号 效 应 之 间 的 相 互 影 响 非 常 大 通 常 会 使 用 逻 辑 分 析 仪, 首 先 检 测 异 常 事 件 及 其 在 数 字 域 中 的 影 响 这 种 工 具 可 以 在 长 时 间 跨 度 中, 一 次 捕 获 数 十 条 甚 至 数 百 条 通 道 ; 因 此, 它 是 最 可 能 在 适 当 的 时 间 连 接 到 适 当 的 信 号 的 采 集 仪 器 在 发 现 异 常 信 号 后, 检 定 异 常 信 号 的 任 务 则 由 实 时 示 波 器 来 完 成 它 可 以 详 细 采 集 每 个 毛 刺 和 跳 变, 并 提 供 精 确 的 幅 度 和 定 时 信 息 追 踪 这 些 模 拟 特 点 通 常 是 解 决 数 字 问 题 最 快 捷 的 途 径 高 效 调 试 要 求 能 够 同 时 处 理 数 字 域 和 模 拟 域 的 工 具 和 方 法 捕 获 这 两 个 域 之 间 的 交 互, 并 以 模 拟 形 式 和 数 字 形 式 进 行 显 示, 是 高 效 调 试 的 关 键 某 些 现 代 解 决 方 案, 特 别 是 泰 克 TLA 系 列 逻 辑 分 析 仪 和 DPO 系 列 示 波 器, 包 括 能 够 把 这 两 种 平 台 集 成 在 一 起 的 功 能 泰 克 ilink TM 系 列 工 具 使 得 逻 辑 分 析 仪 和 示 波 器 能 够 协 作, 共 享 触 发 和 时 间 相 关 显 示 ilink TM 系 列 工 具 由 专 门 设 计 的 多 个 单 元 组 成, 以 加 快 问 题 检 测 和 调 试 速 度 : icapture TM 复 用 技 术, 通 过 一 只 逻 辑 分 析 仪 探 头 同 时 提 供 数 字 采 集 和 模 拟 采 集 iview TM 显 示 技 术, 在 逻 辑 分 析 仪 显 示 屏 上 提 供 时 间 相 关 的 逻 辑 分 析 仪 和 示 波 器 集 成 测 量 iverify TM 分 析 技 术, 使 用 示 波 器 生 成 的 眼 图, 提 供 多 通 道 总 线 分 析 和 验 证 测 试 图 15 是 TLA 系 列 逻 辑 分 析 仪 上 的 iview 屏 幕 画 面 由 于 TLA 逻 辑 分 析 仪 与 集 成 的 DPO 示 波 器 曲 线 实 现 了 时 间 相 关, 因 此 信 号 同 时 以 模 拟 形 式 和 数 字 形 式 出 现 www.tektronix.com.cn/logic_analyzers 11

入 门 手 册 分 析 和 显 示 结 果 可 以 在 各 种 显 示 模 式 和 分 析 模 式 下 使 用 实 时 采 集 存 储 器 中 存 储 的 数 据 在 信 息 存 储 在 系 统 内 部 后, 可 以 使 用 不 同 格 式 查 看 这 些 信 息, 如 从 定 时 波 形 直 到 与 源 代 码 相 关 的 指 令 助 记 符 波 形 显 示 波 形 显 示 是 一 种 多 通 道 详 细 视 图, 允 许 您 查 看 捕 获 的 所 有 信 号 的 时 间 关 系, 其 在 很 大 程 度 上 与 示 波 器 的 显 示 画 面 类 似 图 16 是 简 化 的 波 形 显 示 画 面 在 这 个 图 示 中, 已 经 增 加 了 采 样 时 钟 标 记, 以 显 示 采 样 的 点 逻 辑 分 析 仪 采 样 时 钟 图 16. 逻 辑 分 析 仪 波 形 显 示 ( 简 化 图 ) 波 形 显 示 通 常 用 于 定 时 分 析 中, 其 特 别 适 合 : 诊 断 SUT 硬 件 中 的 定 时 问 题 通 过 把 记 录 的 结 果 与 仿 真 器 的 输 出 或 产 品 技 术 资 料 中 的 定 时 图 进 行 对 比, 检 验 硬 件 是 否 正 常 运 行 测 量 硬 件 定 时 相 关 特 点 : - 争 用 条 件 - 传 播 延 迟 - 不 存 在 或 存 在 脉 冲 分 析 毛 刺 列 表 显 示 列 表 显 示 以 用 户 选 择 的 字 母 数 字 形 式 提 供 状 态 信 息 列 表 中 的 数 据 值 是 从 整 个 总 线 中 捕 获 的 样 点 中 累 积 的, 可 以 用 十 六 进 制 或 其 它 格 式 表 示 递 增 状 态 =0111 二 进 制 = 7 十 六 进 制 图 17. 在 外 部 时 钟 信 号 启 动 采 集 时, 状 态 采 集 捕 获 总 线 中 的 一 个 数 据 片 段 想 象 一 下, 在 一 条 总 线 所 有 波 形 中 获 得 一 个 垂 直 的 片 段, 如 图 17 所 示 通 过 四 位 总 线 的 片 段 代 表 着 实 时 采 集 存 储 器 中 存 储 的 样 点 如 图 17 所 示, 阴 影 片 段 中 的 数 字 是 逻 辑 分 析 仪 将 显 示 的 内 容, 其 一 般 采 用 十 六 进 制 形 式 列 表 显 示 的 目 的 是 显 示 SUT 的 状 态 图 18 中 的 列 表 显 示 允 许 查 看 信 息 流 程, 与 SUT 看 到 的 一 模 一 样, 即 数 据 字 流 图 18. 列 表 显 示 12 www.tektronix.com.cn/logic_analyzers

状 态 数 据 以 多 种 格 式 显 示 实 时 指 令 追 踪 功 能 反 汇 编 每 个 总 线 事 务, 确 定 在 总 线 中 读 取 哪 些 指 令 它 与 相 关 地 址 一 起, 在 逻 辑 分 析 仪 显 示 画 面 上 放 上 相 应 的 指 令 助 记 符 图 19 是 实 时 指 令 追 踪 显 示 实 例 另 一 个 显 示 画 面 源 代 码 调 试 显 示 画 面, 通 过 把 源 代 码 与 指 令 追 踪 历 史 关 联 起 来, 使 您 的 调 试 工 作 更 加 高 效 它 可 以 立 即 查 看 指 令 执 行 时 实 际 发 生 的 情 况 图 20 是 与 图 19 实 时 指 令 追 踪 相 关 的 源 代 码 显 示 画 面 在 特 定 处 理 器 支 持 套 件 的 帮 助 下, 可 以 以 助 记 符 形 式 显 示 状 态 分 析 数 据, 它 可 以 更 简 便 地 调 试 SUT 中 的 软 件 问 题 在 获 得 了 这 些 知 识 后, 您 可 以 进 入 级 别 较 低 的 状 态 显 示 画 面 ( 如 十 六 进 制 显 示 画 面 ), 或 进 入 定 时 图 显 示 画 面, 追 踪 错 误 来 源 图 19. 实 时 命 令 追 踪 显 示 画 面 状 态 分 析 应 用 包 括 : 参 数 和 余 量 分 析 ( 如 建 立 时 间 和 保 持 时 间 值 ) 检 测 建 立 时 间 和 保 持 时 间 违 规 硬 件 / 软 件 集 成 和 调 试 状 态 机 调 试 系 统 优 化 追 踪 整 个 设 计 中 的 数 据 自 动 测 量 拖 放 式 自 动 测 量 可 以 在 逻 辑 分 析 仪 采 集 数 据 上 执 行 完 善 的 测 量 它 提 供 了 广 泛 的 可 供 选 择 的 示 波 器 式 测 量 项 目, 包 括 频 率 周 期 脉 宽 占 空 比 和 边 沿 数 量 通 过 提 供 与 非 常 大 的 样 点 总 量 有 关 的 测 量 结 果, 自 动 测 量 提 供 了 快 速 全 面 的 结 果 执 行 测 量 的 过 程 非 常 简 单 : 从 多 栏 窗 口 中 出 现 的 一 组 相 关 图 标 中, 点 击 选 定 的 一 个 测 量 图 标 ; 把 图 标 拖 到 主 窗 口 中 的 波 形 曲 线 中 ; 放 开 鼠 标 键 逻 辑 分 析 仪 设 置 测 量, 执 行 任 何 必 要 的 分 析 步 骤 ( 如 计 算 脉 宽 ), 显 示 结 果, 如 图 21 所 示 注 意 这 些 步 骤 完 全 自 动 化, 您 不 必 再 使 用 以 前 使 用 的 耗 时 的 手 动 测 量 方 法 图 20. 源 代 码 显 示 画 面 这 个 画 面 中 第 27 行 与 图 19 指 令 追 踪 显 示 画 面 中 第 120 个 样 点 相 关 图 21. 自 动 测 量 改 善 了 工 作 效 率 www.tektronix.com.cn/logic_analyzers 13

入 门 手 册 性 能 指 标 和 考 虑 因 素 逻 辑 分 析 仪 有 许 多 定 量 指 标 表 示 性 能 和 效 率, 其 中 多 个 指 标 与 采 样 率 有 关 这 是 测 量 频 率 轴, 与 数 字 荧 光 示 波 器 (DPO) 的 带 宽 类 似 某 些 探 测 和 触 发 术 语 对 DPO 用 户 来 说 可 能 也 很 熟 悉, 但 有 许 多 特 点 是 逻 辑 分 析 仪 数 字 域 独 有 的 特 点 由 于 逻 辑 分 析 仪 并 非 要 捕 获 和 重 建 模 拟 信 号, 因 此 通 道 数 量 和 同 步 ( 时 钟 ) 模 式 等 是 关 键 问 题, 而 垂 直 精 度 等 模 拟 因 素 则 退 居 其 次 下 面 列 出 的 性 能 术 语 和 考 虑 因 素 参 考 了 泰 克 最 新 的 TLA 系 列 逻 辑 分 析 仪, 这 是 一 种 业 内 领 先 的 解 决 方 案, 满 足 了 最 苛 刻 的 数 字 设 计 应 用 需 求 定 时 采 集 速 率 逻 辑 分 析 仪 最 基 本 的 使 命 是 根 据 其 采 集 的 数 据 生 成 定 时 图 如 果 DUT 功 能 正 常, 且 正 确 设 置 采 集, 那 么 逻 辑 分 析 仪 的 定 时 显 示 几 乎 与 设 计 仿 真 器 或 数 据 手 册 中 的 定 时 图 完 全 相 同 但 是, 这 个 指 标 取 决 于 逻 辑 分 析 仪 的 分 辨 率, 事 实 上 是 逻 辑 分 析 仪 的 采 样 率 定 时 采 集 是 异 步 的, 即 采 样 时 钟 相 对 于 输 入 信 号 自 由 运 行 采 样 率 越 高, 采 样 精 确 地 检 测 事 件 定 时 的 可 能 性 越 大, 如 跳 变 例 如, 采 样 频 率 是 50 GHz 的 TLA 系 列 逻 辑 分 析 仪 的 分 辨 率 等 于 20 ps 因 此, 定 时 显 示 画 面 在 最 坏 情 况 下 会 反 映 20 ps 实 际 边 沿 内 的 边 沿 位 置 状 态 采 集 速 率 状 态 采 集 是 同 步 的 它 依 赖 来 自 DUT 的 外 部 触 发, 为 采 集 提 供 时 钟 输 入 状 态 采 集 旨 在 帮 助 工 程 师 追 踪 处 理 器 和 总 线 的 数 据 流 程 和 程 序 执 行 情 况 逻 辑 分 析 仪 如 TLA 系 列, 可 以 提 供 1.4 GHz 的 状 态 采 集 频 率, 在 所 有 通 道 中 支 持 180 ps 的 建 立 时 间 / 保 持 时 间 窗 口, 保 证 准 确 地 捕 获 数 据 注 意, 这 个 频 率 与 逻 辑 分 析 仪 将 监 测 的 总 线 和 I/O 事 务 有 关, 而 不 是 DUT 的 内 部 时 钟 速 率 尽 管 设 备 的 内 部 速 率 可 能 在 几 千 兆 赫 范 围 内, 但 其 与 总 线 和 其 它 设 备 的 通 信 速 率 与 逻 辑 分 析 仪 的 状 态 采 集 频 率 处 于 同 一 水 平 MagniVu 采 集 速 率 MagniVu 采 集 适 用 于 定 时 采 集 模 式 或 状 态 采 集 模 式 通 过 在 触 发 点 周 围 累 积 额 外 的 样 点,MagniVu 采 集 在 所 有 通 道 上 提 供 了 更 高 的 采 样 分 辨 率, 可 以 更 简 便 地 找 到 棘 手 的 问 题 其 它 功 能 包 括 可 以 调 节 的 MagniVu 采 样 率 可 以 移 动 的 触 发 位 置 及 可 以 独 立 于 主 触 发 进 行 触 发 的 单 独 MagniVu 触 发 操 作 记 录 长 度 记 录 长 度 是 另 一 个 关 键 的 逻 辑 分 析 仪 指 标 能 够 以 采 样 的 数 据 形 式 存 储 更 多 时 间 的 逻 辑 分 析 仪 非 常 实 用, 因 为 触 发 采 集 的 症 状 可 能 会 发 生 在 原 因 很 长 时 间 之 后 在 较 长 的 记 录 长 度 中, 通 常 可 以 同 时 捕 获 和 查 看 症 状 和 原 因, 大 大 简 化 调 试 过 程 14 www.tektronix.com.cn/logic_analyzers

TLA 系 列 逻 辑 分 析 仪 可 以 配 置 各 种 记 录 长 度 还 可 以 把 最 多 四 条 通 道 的 存 储 器 连 接 起 来, 使 可 用 深 度 提 高 四 倍 这 提 供 了 一 种 手 段, 可 以 在 需 要 时 构 建 大 规 模 记 录 长 度, 从 较 小 的 成 本 较 低 的 配 置 中 获 得 长 记 录 长 度 的 性 能 通 道 数 量 和 模 块 化 逻 辑 分 析 仪 的 通 道 数 量 是 其 为 整 个 系 统 中 宽 总 线 和 / 或 多 个 测 试 点 提 供 支 持 的 基 础 在 配 置 仪 器 记 录 长 度 时, 通 道 数 量 也 非 常 重 要 : 为 使 记 录 长 度 提 高 两 倍 或 四 倍, 分 别 要 求 两 条 通 道 和 四 条 通 道 高 速 串 行 总 线 是 当 前 的 发 展 趋 势, 在 这 种 趋 势 下, 通 道 数 量 问 题 变 得 非 常 关 键 例 如,32 位 串 行 数 据 包 必 须 分 布 到 32 条 逻 辑 分 析 仪 通 道 中, 而 不 是 一 条 逻 辑 分 析 仪 通 道 中 换 句 话 说, 从 并 行 结 构 转 向 串 行 结 构 并 没 有 影 响 对 通 道 数 量 的 需 求 独 立 式 TLA 系 列 逻 辑 分 析 仪 可 以 配 置 各 种 通 道 数 量 模 块 化 TLA 系 列 逻 辑 分 析 仪 可 以 容 纳 各 种 采 集 模 块, 它 们 可 以 连 接 在 一 起, 实 现 更 高 的 通 道 数 量 最 终, 系 统 可 以 容 纳 数 千 条 采 集 通 道 模 块 化 TLA 系 列 结 构 具 有 独 特 的 能 力, 可 以 保 持 模 块 间 同 步 和 低 时 延, 即 使 这 些 模 块 位 于 不 同 的 主 机 中 触 发 触 发 灵 活 性 是 快 速 高 效 地 检 测 没 有 看 到 的 问 题 的 关 键 在 逻 辑 分 析 仪 中, 触 发 是 指 设 置 条 件, 在 满 足 这 些 条 件 时 将 捕 获 采 集, 显 示 结 果 采 集 停 止 可 以 证 明 发 生 了 触 发 条 件 ( 除 非 指 定 异 常 超 时 ) 今 天, 拖 放 式 触 发 功 能 简 化 了 触 发 设 置, 可 以 更 简 便 地 设 置 常 用 触 发 类 型 这 些 触 发 使 用 户 不 必 为 日 常 定 时 问 题 设 计 精 心 制 作 的 触 发 配 置 本 文 后 面 的 应 用 实 例 将 显 示, 逻 辑 分 析 仪 还 可 以 有 力 地 指 定 这 些 触 发, 满 足 更 加 复 杂 的 问 题 逻 辑 分 析 仪 还 提 供 了 多 个 触 发 状 态 字 识 别 器 边 沿 / 跳 变 识 别 器 范 围 识 别 器 定 时 器 / 计 数 器 和 快 照 识 别 器 及 毛 刺 和 建 立 时 间 / 保 持 时 间 触 发 探 测 随 着 每 个 新 一 代 电 子 产 品 中 的 电 路 密 度 和 速 度 大 幅 度 提 高, 探 测 解 决 方 案 正 变 成 整 体 逻 辑 分 析 仪 解 决 方 案 中 越 来 越 重 要 的 一 个 组 成 部 分 探 头 必 须 提 供 与 目 标 设 备 相 匹 配 的 通 道 密 度, 同 时 提 供 有 效 连 接, 保 留 信 号 质 量 泰 克 无 连 接 器 逻 辑 分 析 仪 探 头 采 用 D-Max? 技 术, 为 迎 接 这 些 挑 战 提 供 了 一 种 创 新 方 法 它 们 在 探 头 和 电 路 板 之 间 提 供 了 坚 固 耐 用 的 可 靠 的 机 械 和 电 气 连 接 其 业 内 领 先 的 输 入 电 容, 使 探 头 负 荷 对 信 号 的 影 响 达 到 最 小 这 些 压 缩 探 头 是 为 与 电 路 板 上 简 单 的 连 接 盘 配 对 设 计 的, 节 约 了 宝 贵 的 电 路 板 空 间, 最 大 限 度 地 降 低 了 布 线 复 杂 性 和 成 本 www.tektronix.com.cn/logic_analyzers 15

入 门 手 册 逻 辑 分 析 仪 采 样 图 22. 说 明 采 样 率 与 分 辨 率 关 系 的 D 触 发 器 实 例 图 23. 采 样 率 与 分 辨 率 的 关 系 逻 辑 分 析 仪 测 量 实 例 下 面 这 一 系 列 实 例 将 说 明 多 个 常 见 的 测 量 问 题 及 其 解 决 方 案 我 们 简 化 了 解 释 过 程, 重 点 介 绍 部 分 基 本 逻 辑 分 析 仪 采 集 技 术 及 数 据 结 果 显 示 为 简 单 起 见, 我 们 省 掉 了 某 些 设 置 步 骤 和 配 置 细 节 如 需 进 一 步 细 节, 请 参 阅 仪 器 文 档 应 用 指 南 和 其 它 技 术 资 料 进 行 通 用 定 时 测 量 保 证 数 字 系 统 中 关 键 信 号 之 间 正 确 的 定 时 关 系, 是 验 证 过 程 的 一 个 基 本 步 骤 必 须 评 估 各 种 定 时 参 数 和 信 号 : 传 播 延 迟 脉 宽 建 立 时 间 和 保 持 时 间 特 点 信 号 偏 移 等 等 高 效 的 定 时 测 量 要 求 一 种 能 够 在 大 量 的 通 道 中 提 供 高 分 辨 率 采 集 的 工 具, 并 且 对 被 测 的 电 路 负 荷 达 到 最 小 这 个 工 具 必 须 拥 有 灵 活 的 触 发 功 能, 帮 助 设 计 人 员 定 义 明 确 的 触 发 条 件, 迅 速 定 位 问 题 此 外, 这 个 工 具 必 须 提 供 显 示 和 分 析 功 能, 简 化 对 长 记 录 的 理 解 在 验 证 新 的 数 字 设 计 时, 通 常 要 求 定 时 测 量 下 面 的 实 例 演 示 了 在 D 触 发 器 上 进 行 的 定 时 测 量, 其 连 接 如 图 22 所 示 这 个 实 例 基 于 泰 克 TLA 系 列 逻 辑 分 析 仪 功 能 在 现 实 生 活 中, 这 样 的 测 量 可 能 会 同 时 采 集 数 百 个 甚 至 数 千 个 信 号 但 是, 不 管 是 哪 种 情 况, 原 理 都 是 相 同 的, 如 本 例 所 示, 定 时 测 量 非 常 快 速 简 便 准 确 设 置 触 发 和 时 钟 这 个 实 例 使 用 IF Anything, THEN Trigger 设 置 和 内 部 ( 异 步 ) 时 钟 还 有 一 个 设 置 步 骤, 其 超 出 了 本 文 的 讨 论 范 围, 即 命 名 并 把 信 号 映 射 到 特 定 的 逻 辑 分 析 仪 通 道 在 执 行 Run 操 作 采 集 信 号 数 据 后, 使 用 Horizontal Position 控 制 功 能 和 存 储 器 滚 动 条, 定 位 屏 幕 上 的 数 据, 以 便 能 够 看 到 触 发 指 示 符 ( 标 有 T ) 把 鼠 标 指 针 放 在 Q 信 号 前 沿 上, 按 鼠 标 右 键 从 出 现 的 菜 单 中 选 择 Move cursor 1 here, 把 第 一 个 测 量 光 标 移 到 这 个 位 置 然 后 可 以 使 用 拖 放 功 能, 把 光 标 snap ( 吸 住 ) 前 沿 上 这 会 成 为 被 测 时 间 跨 度 的 开 始 时 间 16 www.tektronix.com.cn/logic_analyzers

毛 刺 MagniVu 采 样 图 24. MagniVu 采 集 技 术 实 现 更 高 分 辨 率 的 D 触 发 器 实 例 图 25. 使 用 MagniVu 采 集 进 行 毛 刺 触 发, 实 现 了 更 高 的 分 辨 率 把 鼠 标 光 标 放 在 Q 信 号 的 后 沿 上 按 鼠 标 右 键, 选 择 Move cursor 2 here, 放 置 光 标 您 也 可 以 使 用 snap ( 吸 住 ) 光 标 功 能, 更 加 简 便 地 把 光 标 与 边 沿 对 准 这 会 成 为 被 测 时 间 跨 度 的 结 束 时 间 由 于 显 示 画 面 的 Y 轴 表 明 时 间, 因 此 Cursor 2 和 Cursor 1 之 间 的 差 值 即 时 间 测 量 显 示 画 面 Delta Time 读 数 中 出 现 结 果 52 ns 测 量 分 辨 率 取 决 于 采 样 率, 在 图 23 中, 采 样 率 是 2 ns, 如 Sample 追 踪 上 的 记 号 所 示 注 意 "Delta Time" 测 量 分 辨 率 不 能 大 于 采 样 率 检 测 和 显 示 间 歇 性 毛 刺 毛 刺 一 直 是 数 字 系 统 设 计 人 员 头 痛 的 问 题 这 些 不 稳 定 的 脉 冲 具 有 间 歇 性 特 点, 其 幅 度 和 时 间 周 期 可 能 是 不 规 则 的 它 们 不 可 避 免 地 检 测 和 捕 获 起 来 非 常 困 难, 而 不 可 预 测 的 毛 刺 影 响 可 能 会 使 系 统 失 效 例 如, 一 个 逻 辑 单 元 很 容 易 会 错 误 地 把 毛 刺 理 解 为 时 钟 脉 冲, 进 而 可 能 会 在 总 线 中 过 早 地 发 送 数 据, 产 生 使 整 个 系 统 产 生 波 动 的 错 误 任 意 数 量 的 条 件 都 会 导 致 毛 刺 : 串 扰 电 感 耦 合 争 用 条 件 定 时 违 规 等 等 毛 刺 可 能 会 躲 过 传 统 逻 辑 分 析 仪 定 时 测 量, 因 为 它 们 的 时 间 周 期 非 常 短 很 容 易 会 出 现 毛 刺, 然 后 在 两 个 逻 辑 分 析 仪 采 集 之 间 的 时 间 内 消 失 只 有 定 时 分 辨 率 非 常 高 的 逻 辑 分 析 仪 ( 即 在 异 步 模 式 下 运 行 时 高 时 钟 频 率 ) 才 可 望 捕 获 这 些 简 短 的 事 件 在 理 想 情 况 下, 逻 辑 分 析 仪 将 自 动 突 出 显 示 毛 刺 和 通 道 下 面 的 实 例 说 明 了 使 用 TLA 系 列 逻 辑 分 析 仪 捕 获 窄 毛 刺 的 过 程 被 测 设 备 (DUT) 还 是 信 号 定 时 如 图 24 所 示 的 D 触 发 器 我 们 使 用 MagniVu 定 时 分 辨 率, 以 非 常 高 的 精 度 检 测 和 显 示 毛 刺 这 个 实 例 内 容 并 不 详 细, 因 为 我 们 省 去 了 部 分 步 骤, 以 与 本 入 门 手 册 的 级 别 保 持 一 致 在 以 前 的 触 发 设 置 中, 我 们 已 经 在 波 形 窗 口 中 采 集 了 波 形 通 过 使 用 拖 放 触 发 功 能, 可 以 轻 松 捕 获 毛 刺 点 击 屏 幕 底 部 的 Trigger 栏 点 击 括 号 中 的 毛 刺 触 发 选 项, 把 它 拖 放 到 总 线 波 形 上 现 在 点 击 Run 按 钮, 然 后 将 捕 获 并 在 波 形 窗 口 中 上 显 示 这 些 总 线 上 的 毛 刺 采 集 结 果 如 图 25 所 示 这 个 屏 幕 中 包 括 多 条 增 加 的 通 道 ( 通 常 是 单 独 的 设 置 步 骤, 不 要 求 第 二 次 采 集 ), 以 显 示 高 分 辨 率 MagniVu 采 集 的 内 容 www.tektronix.com.cn/logic_analyzers 17

入 门 手 册 外 部 时 钟 建 立 时 间 保 持 时 间 数 字 器 件 制 造 商 指 定 了 建 立 时 间 和 保 持 时 间 参 数, 工 程 师 必 须 特 别 注 意, 保 证 其 设 计 不 会 违 反 这 些 指 标 但 是, 当 前 容 限 越 来 越 紧 张 及 更 快 速 部 件 的 广 泛 使 用 以 提 供 更 高 的 吞 吐 量, 正 使 建 立 时 间 和 保 持 时 间 违 规 越 来 越 常 见 这 些 违 规 可 能 会 导 致 设 备 输 出 变 得 不 稳 定 ( 这 种 情 况 称 为 亚 稳 定 ), 可 能 会 导 致 意 想 不 到 的 毛 刺 和 其 它 错 误 设 计 人 员 必 须 认 真 检 查 电 路, 确 定 违 反 设 计 规 则 是 否 导 致 建 立 时 间 和 保 持 时 间 问 题 MagniVu 采 样 图 26. 建 立 时 间 和 保 持 时 间 关 系 在 Q 输 出 波 形 曲 线 上, 注 意 触 发 指 示 符 左 面 ( 较 早 ) 的 红 色 标 记 这 宣 布 在 触 发 样 点 和 其 紧 前 面 数 据 样 点 之 间 的 红 色 区 域 中 已 经 检 测 到 一 个 毛 刺 Q 输 出 的 MagniVu 通 道 ( 底 部 曲 线 ) 揭 示 了 毛 刺 发 生 的 具 体 位 置 在 这 个 点 上, 毛 刺 定 时 是 已 知 的, 可 以 使 用 仪 器 的 缩 放 和 光 标 功 能, 测 量 脉 宽 捕 获 建 立 时 间 或 保 持 时 间 违 规 建 立 时 间 定 义 为 在 偏 移 到 设 备 的 时 钟 边 沿 前 输 入 数 据 必 须 有 效 和 稳 定 的 最 短 时 间 ( 参 见 图 26) 保 持 时 间 是 在 时 钟 边 沿 发 生 后 数 据 必 须 有 效 和 稳 定 的 最 短 时 间 近 年 来, 建 立 时 间 和 保 持 时 间 要 求 已 经 缩 窄, 以 致 于 使 用 大 多 数 传 统 通 用 逻 辑 分 析 仪 很 难 检 测 和 捕 获 事 件 唯 一 有 效 的 解 决 方 案 是 使 用 拥 有 亚 纳 秒 级 采 样 分 辨 率 的 逻 辑 分 析 仪 具 有 MagniVu 采 集 功 能 的 泰 克 TLA 系 列 逻 辑 分 析 仪 提 供 了 经 过 验 证 的 建 立 时 间 和 保 持 时 间 测 量 解 决 方 案 下 面 的 实 例 介 绍 了 同 步 采 集 模 式, 其 依 赖 外 部 时 钟 信 号 驱 动 采 样, 不 管 是 哪 种 模 式, 都 总 是 可 以 使 用 MagniVu 功 能, 在 触 发 点 周 围 提 供 高 分 辨 率 采 样 数 据 缓 冲 器 这 里 的 DUT 仍 是 拥 有 单 个 输 出 的 D 触 发 器, 但 这 个 实 例 同 样 适 用 于 拥 有 数 百 个 输 出 的 设 备 通 过 使 用 MagniVu 采 集 查 看 数 据, 我 们 可 以 获 得 最 高 的 定 时 分 辨 率 应 该 指 出 的 是, 在 本 演 示 中, 我 们 建 立 了 一 个 只 包 括 MagniVu 采 集 的 数 据 窗 口 由 于 将 触 发 建 立 时 间 或 保 持 时 间 违 规, 因 此 MagniVu 功 能 可 以 在 违 规 周 围 提 供 最 佳 的 定 时 分 辨 率 18 www.tektronix.com.cn/logic_analyzers

突 发 数 据 图 27. 建 立 时 间 和 保 持 时 间 事 件 显 示 画 面 在 跳 变 时 存 储 的 样 点 图 29. 传 统 存 储 技 术 只 能 在 发 生 跳 变 时 存 储 数 据 使 用 跳 变 存 储 器 最 大 限 度 地 提 高 可 用 的 记 录 长 度 有 时 被 测 设 备 会 生 成 中 间 有 很 长 时 间 不 活 动 由 偶 尔 的 事 件 群 组 成 的 信 号 例 如, 某 些 类 型 的 雷 达 系 统 使 用 时 间 上 相 隔 很 远 的 突 发 数 据 驱 动 内 部 数 模 转 换 器 图 28. 显 示 结 果, 表 明 了 建 立 时 间 和 保 持 时 间 在 这 个 实 例 中,DUT 本 身 提 供 外 部 时 钟 信 号, 控 制 着 同 步 采 集 可 以 使 用 逻 辑 分 析 仪 拖 放 触 发 功 能, 创 建 建 立 时 间 和 保 持 时 间 触 发 这 种 模 式 的 独 特 之 处 是 其 能 够 简 便 地 定 义 明 确 的 建 立 时 间 和 保 持 时 间 违 规 参 数, 如 图 27 所 示 可 以 使 用 设 置 窗 口 中 的 其 它 子 菜 单, 提 炼 信 号 定 义 的 其 它 方 面, 包 括 逻 辑 条 件 和 正 向 或 负 向 条 件 在 测 试 运 行 时, 逻 辑 分 析 仪 实 际 评 估 时 钟 的 每 个 上 升 沿, 确 定 建 立 时 间 或 保 持 时 间 违 规 它 监 测 数 百 万 个 事 件, 只 捕 获 未 能 满 足 建 立 时 间 或 保 持 时 间 要 求 的 事 件 得 到 的 显 示 画 面 如 图 28 所 示 这 里, 建 立 时 间 是 2.375 ns, 远 远 低 于 确 定 的 极 限 10 ns 在 使 用 传 统 逻 辑 分 析 仪 采 集 和 存 储 技 术 时, 这 是 一 个 问 题 仪 器 对 每 个 采 样 间 隔 使 用 一 个 存 储 位 置, 这 种 方 法 可 以 大 体 称 为 Store All ( 全 部 存 储 ) 这 会 用 没 有 变 化 的 数 据 迅 速 填 满 采 集 存 储 器, 占 用 捕 获 实 际 感 兴 趣 数 据 ( 突 发 的 活 动 信 号 ) 所 需 的 宝 贵 容 量 一 种 称 为 跳 变 存 储 器 的 方 法 解 决 了 这 个 问 题, 其 只 在 跳 变 发 生 时 存 储 数 据 图 29 说 明 了 这 一 概 念 逻 辑 分 析 仪 在 且 只 在 数 据 变 化 时 采 样 可 以 以 逻 辑 分 析 仪 主 采 样 存 储 器 的 全 部 分 辨 率 捕 获 相 距 几 秒 几 分 钟 几 小 时 或 几 天 的 突 发 仪 器 等 待 很 长 的 静 止 周 期 注 意, 并 不 是 忽 略 这 些 不 活 动 的 长 跨 度, 而 是 一 直 监 测 这 些 跨 度, 但 不 记 录 这 些 跨 度 下 面 的 实 例 说 明 了 使 用 TLA 系 列 逻 辑 分 析 仪 实 现 的 解 决 方 案 通 用 的 IF/THEN 触 发 算 法 再 次 成 为 区 分 独 特 的 适 合 跳 变 存 储 情 况 的 最 佳 工 具 www.tektronix.com.cn/logic_analyzers 19

入 门 手 册 逻 辑 分 析 仪 应 用 实 例 下 面 概 括 介 绍 了 当 前 某 些 关 键 应 用 中 需 要 考 虑 的 测 量 要 求 和 考 虑 因 素 图 30. 显 示 画 面 演 示 了 跳 变 存 储 技 术 TLA 系 列 接 口 提 供 了 一 个 下 拉 Storage 菜 单, 选 择 Transitional 而 不 是 All 事 件 这 会 调 出 一 个 菜 单, 可 以 调 用 IF Channel Burst=High THEN Trigger 模 式 使 用 这 些 指 定 条 件 运 行 测 试, 将 生 成 一 个 类 似 于 图 30 所 示 的 屏 幕 画 面 这 里, 突 发 中 包 含 9 组 每 组 8 个 脉 冲, 宽 22 ns, 各 组 之 间 相 距 428 ns 的 静 止 间 隔 跳 变 存 储 器 允 许 仪 器 捕 获 全 部 16 个 突 发 组, 包 括 7 个 在 屏 幕 外 的 其 余 触 发 组, 同 时 只 占 用 256 的 记 录 长 度 时 间 窗 口 代 表 着 大 约 3.8 毫 秒 的 采 集 时 间, 这 些 组 每 隔 2 毫 秒 重 复 一 次 相 比 之 下,Store All 采 集 模 式 只 采 集 其 中 一 个 突 发 组, 使 用 的 存 储 空 间 是 2000 倍, 即 512K 分 配 的 存 储 器 将 在 大 约 1 微 秒 中 填 满, 大 部 分 空 间 被 空 白 的 不 活 动 周 期 占 用 跳 变 存 储 器 在 每 次 运 行 采 集 时 能 够 收 集 的 实 用 信 息 数 量 大 大 提 高 FPGA 设 计 规 格 和 复 杂 性 显 著 增 长, 使 设 计 检 验 成 为 当 前 FPGA 系 统 的 关 键 瓶 颈 内 部 信 号 访 问 能 力 有 限 先 进 的 FPGA 封 装 和 印 刷 电 路 板 (PCB) 电 气 噪 声, 都 使 FPGA 调 试 和 检 验 成 为 设 计 周 期 中 最 困 难 的 过 程 调 试 和 检 验 设 计 的 时 间 很 容 易 会 超 过 设 计 周 期 的 50% 为 帮 助 您 完 成 设 计 调 试 和 检 验 过 程, 要 求 使 用 新 的 工 具, 帮 助 调 试 设 计, 同 时 在 FPGA 上 全 速 运 行 其 中 在 设 计 阶 段 需 要 做 出 的 一 个 关 键 选 择 是 确 定 使 用 哪 种 FPGA 调 试 方 法 在 理 想 情 况 下, 您 想 要 一 种 能 够 移 植 到 所 有 FPGA 设 计 的 方 法, 这 种 方 法 使 您 能 够 同 时 洞 察 FPGA 操 作 和 系 统 操 作, 使 您 能 够 找 到 和 分 析 棘 手 的 问 题 实 际 上, 有 两 种 基 本 在 线 FPGA 调 试 方 法 : 第 一 种 使 用 嵌 入 式 逻 辑 分 析 仪, 第 二 种 使 用 外 部 逻 辑 分 析 仪 选 择 使 用 哪 种 方 法 取 决 于 项 目 的 调 试 需 求 每 个 FPGA 厂 商 都 提 供 一 个 嵌 入 式 逻 辑 分 析 仪 核 心 这 些 知 识 产 权 模 块 被 插 入 FPGA 设 计 中, 同 时 提 供 触 发 功 能 和 存 储 功 能 必 需 指 出 的 是,FPGA 逻 辑 资 源 用 来 实 现 触 发 电 路,FPGA 存 储 器 模 块 用 来 实 现 存 储 功 能 JTAG 一 般 用 来 配 置 核 心 操 作, 然 后 把 捕 获 的 数 据 传 送 到 PC 进 行 查 看 由 于 嵌 入 式 逻 辑 分 析 仪 使 用 内 部 FPGA 资 源, 因 此 其 最 常 用 于 较 大 的 FPGA, 可 以 更 好 地 吸 收 核 心 的 开 销 与 任 何 调 试 方 法 一 样, 嵌 入 式 逻 辑 分 析 仪 有 一 些 优 点 和 缺 点 需 要 我 们 了 解 20 www.tektronix.com.cn/logic_analyzers

优 点 要 求 的 引 脚 数 量 较 少 探 测 简 单 成 本 相 对 较 低 缺 点 核 心 尺 寸 限 制 着 其 只 能 用 于 大 型 FPGA 必 须 放 弃 内 部 存 储 器 仅 状 态 模 式 分 析 速 度 有 限 FPGA 轨 迹 数 据 和 其 它 系 统 轨 迹 之 间 不 相 关 可 以 视 具 体 情 况, 选 择 使 用 不 同 的 方 法 挑 战 在 于 确 定 哪 种 方 法 适 合 您 的 设 计 问 一 下 自 己 下 面 几 个 问 题 : 预 计 问 题 是 什 么? 如 果 您 认 为 问 题 将 被 隔 离 到 FPGA 内 部 功 能 中, 那 么 可 以 使 用 嵌 入 式 逻 辑 分 析 仪, 它 提 供 了 所 需 的 全 部 调 试 功 能 但 是, 如 果 预 计 较 大 的 调 试 问 题, 可 能 要 求 检 验 定 时 余 量, 把 内 部 FPGA 活 动 与 电 路 板 上 的 其 它 活 动 关 联 起 来, 或 要 求 更 强 大 的 触 发 功 能 隔 离 问 题, 那 么 使 用 外 部 逻 辑 分 析 仪 更 适 合 满 足 您 的 调 试 需 求 由 于 嵌 入 式 逻 辑 分 析 仪 方 法 的 局 限 性, 许 多 FPGA 设 计 人 员 已 经 采 用 一 种 方 法, 既 利 用 了 FPGA 的 灵 活 性, 又 利 用 了 外 部 逻 辑 分 析 仪 的 处 理 能 力, 如 TLA 系 列 逻 辑 分 析 仪 在 这 种 方 法 中, 感 兴 趣 的 内 部 信 号 被 路 由 到 FPGA 引 脚 上, 然 后 连 接 到 外 部 逻 辑 分 析 仪 上 这 种 方 法 提 供 了 非 常 深 的 存 储 器, 适 用 于 调 试 症 状 与 实 际 原 因 相 距 时 间 很 长 的 问 题 它 还 能 够 把 内 部 FPGA 信 号 与 系 统 中 的 其 它 活 动 关 联 起 来 与 嵌 入 式 逻 辑 分 析 仪 方 法 一 样, 这 种 方 法 也 有 自 己 的 优 点 和 缺 点 让 我 们 更 详 细 地 看 一 下 外 部 逻 辑 分 析 仪 方 法 从 本 质 上 看, 这 种 方 法 使 用 FPGA 中 的 P, 按 需 对 设 备 重 新 编 程, 把 感 兴 趣 的 内 部 信 号 路 由 到 引 脚 数 量 一 般 较 少 的 器 件 上 这 种 方 法 非 常 实 用, 但 也 有 自 己 的 局 限 性 每 次 在 您 需 要 查 看 不 同 的 一 套 内 部 信 号 时, 您 都 可 能 需 要 改 变 设 计 ( 在 RTL 级 或 使 用 FPGA 编 辑 器 工 具 ), 把 所 需 的 一 套 信 号 路 由 到 调 试 引 脚 上 这 不 仅 耗 时 长, 而 且 如 果 要 求 重 新 编 译 设 计, 其 会 占 用 更 长 的 时 间, 可 能 会 改 变 设 计 定 时 而 隐 藏 问 题 调 试 引 脚 数 量 一 般 都 很 少, 内 部 信 号 和 调 试 引 脚 之 间 1:1 的 关 系 限 制 了 设 计 的 查 看 能 力 优 点 使 用 的 FPGA 逻 辑 资 源 非 常 少 不 使 用 FPGA 存 储 器 在 状 态 模 式 和 定 时 模 式 下 操 作 FPGA 信 号 与 其 它 系 统 信 号 相 关 缺 点 在 FPGA 上 要 求 更 多 的 引 脚 移 动 测 试 点 可 能 要 求 重 新 编 译 设 计 要 求 在 逻 辑 分 析 仪 上 手 动 更 新 信 号 名 称 为 克 服 这 些 限 制, 业 内 已 经 研 制 出 一 种 新 的 FPGA 调 试 方 法, 其 不 仅 提 供 了 外 部 逻 辑 分 析 仪 的 所 有 优 势, 还 消 除 了 其 主 要 限 制 First Silicon Solution 的 FPGAView 软 件 包 在 与 泰 克 TLA 系 列 逻 辑 分 析 仪 结 合 使 用 时, 为 调 试 Altera 或 Xilinx FPGA 和 周 边 硬 件 提 供 了 一 个 完 整 的 解 决 方 案 FPGAView 和 TLA 逻 辑 分 析 仪 相 结 合, 可 以 查 看 FPGA 设 计 内 部, 把 内 部 信 号 与 外 部 信 号 关 联 起 来 可 以 提 高 工 作 效 率, 因 为 消 除 了 耗 时 的 重 新 编 译 设 计 的 过 程, 每 个 调 试 引 脚 可 以 访 问 多 个 内 部 信 号 此 外,FPGAView 可 以 在 一 台 设 备 中 处 理 多 个 测 试 核 心 这 适 用 于 需 要 监 测 FPGA 内 部 不 同 时 钟 域 的 情 况 它 还 可 以 处 理 JTAG 链 中 的 多 个 FPGA www.tektronix.com.cn/logic_analyzers 21

入 门 手 册 逻 辑 分 析 仪 连 接 没 有 使 用 的 FPGA 引 脚 编 程 硬 件 开 发 软 件 图 31. 典 型 的 FPGAView 实 现 方 案 如 图 31 所 示, 完 整 的 解 决 方 案 由 四 个 项 目 组 成 在 本 例 中, 第 一 个 项 目 是 Altera 在 其 Quartus II 软 件 套 件 中 提 供 的 测 试 复 用 器 这 个 测 试 复 用 器 提 供 给 所 有 Quartus II 软 件 用 户 第 二 个 项 目 是 FPGAView 软 件 包, 允 许 用 户 控 制 测 试 复 用 器, 把 其 它 项 目 整 合 成 一 个 强 大 的 工 具 第 三 个 项 目 是 TLA 系 列 逻 辑 分 析 仪, 用 来 采 集 和 分 析 数 据 最 后 一 个 项 目 是 JTAG 编 程 电 缆, 用 来 控 制 FPGA 内 部 的 测 试 复 用 器 FPGAView 和 TLA 系 列 逻 辑 分 析 仪 相 结 合, 可 以 简 化 与 FPGA 有 关 的 许 多 调 试 任 务 这 套 工 具 允 许 查 看 FPGA 设 计 内 部, 把 内 部 信 号 与 外 部 信 号 关 联 起 来 可 以 提 高 工 作 效 率, 因 为 它 消 除 了 耗 时 的 重 新 编 译 设 计 的 过 程, 每 个 调 试 引 脚 可 以 访 问 多 个 内 部 信 号 22 www.tektronix.com.cn/logic_analyzers

存 储 器 在 更 快 更 大 能 耗 更 低 的 存 储 器 要 求 和 更 小 的 物 理 尺 寸 推 动 下, 动 态 随 机 访 问 存 储 器 正 随 着 时 间 推 移 不 断 演 进 第 一 步 是 转 向 同 步 动 态 RAM, 它 提 供 了 一 个 时 钟 边 沿, 把 操 作 与 存 储 器 控 制 器 同 步 然 后 业 内 使 用 双 倍 数 据 速 率 (DDR) 提 高 了 数 据 速 率, 然 后 为 克 服 信 号 完 整 性 问 题,DDR2 SDRAM 和 DDR3 SDRAM 的 速 度 进 一 步 提 高 为 跟 上 更 加 复 杂 更 短 设 计 周 期 的 发 展 步 伐, 存 储 器 设 计 人 员 需 要 各 种 不 同 的 测 试 设 备, 检 查 设 计 如 果 要 查 看 阻 抗 和 轨 迹 长 度, 那 么 您 需 要 使 用 采 样 示 波 器 如 果 要 查 看 电 气 信 号, 从 功 率 到 信 号 完 整 性 再 到 时 钟 抖 动 等 等, 那 么 您 需 要 使 用 数 字 荧 光 示 波 器 如 果 要 查 看 命 令 和 协 议, 那 么 您 需 要 使 用 逻 辑 分 析 仪, 检 验 存 储 器 系 统 操 作, 如 图 32 所 示 逻 辑 分 析 仪 存 储 器 支 持 通 过 配 置 逻 辑 分 析 仪 设 置, 为 存 储 器 采 集 提 供 自 定 义 时 钟 存 储 器 数 据 分 析 软 件 和 助 记 符 列 表, 并 可 以 包 括 探 测 硬 件, 增 强 了 逻 辑 分 析 仪 操 作 Nexus Technology 公 司 是 泰 克 嵌 入 式 系 统 工 具 合 作 伙 伴, 为 泰 克 逻 辑 分 析 仪 和 示 波 器 提 供 逻 辑 分 析 仪 存 储 器 支 持 和 补 充 产 品 泰 克 还 分 销 部 分 Nexus Technology 产 品 信 号 完 整 性 直 接 观 察 和 测 量 信 号 是 发 现 信 号 完 整 性 相 关 问 题 的 唯 一 途 径 一 如 既 往, 选 择 适 当 的 工 具 将 简 化 您 的 工 作 大 部 分 信 号 完 整 性 测 量 由 几 乎 任 何 电 子 工 程 实 验 室 中 都 有 的 用 户 熟 悉 的 仪 器 完 成, 包 括 逻 辑 分 析 仪 和 示 波 器, 再 辅 以 探 头 和 应 用 软 件, 构 成 了 基 本 工 具 箱 此 外, 可 以 使 用 信 号 源, 提 供 失 真 信 号, 进 行 极 限 测 试, 评 估 新 的 器 件 和 系 统 图 32. Nexus Technology 公 司 NEX-FBD-NEXVu Listing 窗 口, 包 括 交 替 码 型 的 DDR2 SDRAM 读 数 据, 交 替 码 型 由 5555, 5555, 5555, 5555 十 六 进 制 及 AAAA, AAAA, AAAA, AAAA 十 六 进 制 组 成 图 33. 逻 辑 分 析 仪 显 示 画 面, 显 示 了 定 时 波 形 及 与 源 代 码 相 关 的 实 时 软 件 轨 迹 在 设 置 信 号 完 整 性 测 量 系 统 时, 主 要 考 虑 因 素 如 下 : 探 测 带 宽 和 阶 跃 响 应 定 时 分 辨 率 记 录 长 度 触 发 集 成 在 调 试 数 字 信 号 完 整 性 问 题 时, 特 别 是 在 拥 有 大 量 总 线 输 入 和 输 出 的 复 杂 系 统 中, 逻 辑 分 析 仪 构 成 了 第 一 道 防 线 www.tektronix.com.cn/logic_analyzers 23

入 门 手 册 逻 辑 分 析 仪 功 能 信 号 完 整 性 测 试 推 荐 功 能 示 波 器 集 成 逻 辑 分 析 仪 屏 幕 上 时 间 相 关 的 示 波 器 轨 迹, 多 通 道 眼 图 探 测 通 过 同 一 只 逻 辑 分 析 仪 探 头 同 时 探 测 定 时 状 态 和 模 拟 采 集 定 时 测 量 分 辨 率 20 ps ( 在 50 GHz 时 钟 速 率 下 ) 状 态 采 集 速 率 高 达 1.4 GHz 采 集 记 录 长 度 高 达 256 M 触 发 边 沿 毛 刺 逻 辑 建 立 时 间 / 保 持 时 间 等 等 分 析 处 理 器 支 持 软 件 包 和 反 汇 编 程 序 显 示 多 个 显 示 画 面 图 34. 信 号 完 整 性 分 析 要 求 某 些 最 高 的 逻 辑 分 析 仪 性 能 这 种 仪 器 拥 有 高 通 道 数 量 深 存 储 器 和 高 级 触 发 功 能, 可 以 从 多 个 测 试 点 采 集 数 字 信 息, 然 后 以 相 干 方 式 显 示 信 息 由 于 是 一 种 真 正 的 数 字 仪 器, 因 此 逻 辑 分 析 仪 可 以 检 测 其 监 测 的 信 号 越 过 门 限 的 情 况, 然 后 显 示 逻 辑 IC 看 到 的 逻 辑 信 号 得 到 的 定 时 波 形 清 楚 可 以 理 解, 并 可 以 简 便 地 与 预 计 数 据 比 较, 确 定 设 备 工 作 正 常 这 些 定 时 波 形 通 常 是 搜 索 影 响 信 号 完 整 性 的 信 号 问 题 的 起 点 在 反 汇 编 程 序 和 处 理 器 支 持 套 件 的 帮 助 下, 可 以 进 一 步 理 解 这 些 结 果, 反 汇 编 程 序 和 处 理 器 支 持 套 件 允 许 逻 辑 分 析 仪 把 实 时 软 件 轨 迹 ( 与 源 代 码 相 关 ) 与 低 级 硬 件 活 动 关 联 起 来, 如 图 33 所 示 但 是, 并 不 是 所 有 逻 辑 分 析 仪 都 适 合 分 析 当 前 数 据 速 率 极 高 ( 而 且 仍 在 提 高!) 的 信 号 完 整 性 图 34 提 供 了 某 些 指 标 准 则, 在 选 择 逻 辑 分 析 仪 进 行 高 级 信 号 完 整 性 调 试 时 应 该 考 虑 这 些 准 则 如 果 只 是 看 重 采 样 率 和 存 储 器 容 量, 那 么 很 容 易 会 忽 视 逻 辑 分 析 仪 中 的 触 发 功 能 但 触 发 通 常 是 找 到 问 题 最 快 捷 的 途 径 毕 竟, 如 果 逻 辑 分 析 仪 触 发 错 误, 那 么 表 明 错 误 已 经 发 生 大 多 数 当 前 逻 辑 分 析 仪 包 括 多 种 触 发 功 能, 可 以 检 测 影 响 信 号 完 整 性 的 某 些 事 件, 如 毛 刺 及 建 立 时 间 和 保 持 时 间 违 规 可 以 一 次 在 数 百 条 通 道 中 运 用 这 些 触 发 条 件, 这 是 逻 辑 分 析 仪 独 有 的 优 势 24 www.tektronix.com.cn/logic_analyzers

串 行 数 据 多 年 来, 宽 同 步 并 行 总 线 一 直 是 数 字 器 件 之 间 交 换 数 据 的 既 定 技 术 方 法 通 过 并 行 传 送 多 个 位, 这 些 数 据 总 线 技 术 的 通 信 速 度 似 乎 要 超 过 串 行 ( 顺 序 ) 传 输 技 术 遗 憾 的 是, 在 并 行 总 线 中, 定 时 同 步 ( 偏 移 ) 在 较 高 的 时 钟 频 率 和 数 据 速 率 下 变 成 了 问 题, 有 效 限 制 了 并 行 总 线 传 输 的 速 度 此 外, 在 支 持 扩 展 距 离 实 现 成 本 和 最 终 用 户 成 本 方 面, 其 面 临 着 重 大 挑 战 相 比 之 下, 串 行 总 线 只 发 送 一 条 码 流, 自 行 提 供 时 钟 输 入, 从 而 消 除 了 数 据 和 时 钟 之 间 的 定 时 偏 移, 即 同 时 发 送 的 位 到 达 时 间 差 在 串 行 传 输 中, 同 步 远 不 是 什 么 问 题, 而 整 体 吞 吐 量 则 是 更 加 突 出 的 问 题 然 而, 在 通 过 技 术 进 步 消 除 一 种 性 能 障 碍 的 同 时, 另 一 个 障 碍 又 出 现 了 速 度 更 快 的 新 技 术 解 决 了 这 种 挑 战, 但 提 高 了 设 计 复 杂 性, 不 断 变 化 的 标 准 创 造 出 更 大 的 新 的 设 计 挑 战, 可 能 会 妨 碍 产 品 开 发 周 期, 提 高 开 发 成 本 多 种 新 型 串 行 数 据 总 线 结 构 提 供 的 数 据 吞 吐 量 较 前 几 年 提 高 了 一 个 量 级, 包 括 PCI-Express XAUI RapidIO HDMI 和 SATA 由 于 这 么 高 的 复 杂 性 和 这 么 大 的 变 化, 您 需 要 测 试 解 决 方 案, 帮 助 您 迅 速 简 便 地 找 到 和 解 决 设 计 问 题 泰 克 提 供 了 完 整 的 串 行 数 据 测 试 解 决 方 案, 使 您 能 够 开 发 产 品, 保 证 满 足 最 新 的 串 行 数 据 测 试 要 求 图 35. 逻 辑 分 析 仪 显 示 画 面, 显 示 了 PCI Express 2.0 的 数 字 验 证 和 调 试 例 如,TLA 系 列 串 行 分 析 仪 模 块 为 PCI Express 验 证 提 供 了 一 种 创 新 方 法, 从 物 理 层 到 事 务 层, 涵 盖 了 所 有 协 议 层 此 外,TLA 系 列 串 行 分 析 仪 模 块 拥 有 无 可 比 拟 的 物 理 层 事 件 捕 获 和 触 发 能 力, 不 管 是 问 题 存 在 于 链 路 培 训 过 程 中, 还 是 链 路 进 出 电 源 管 理 状 态 全 面 支 持 L0s 和 L1 电 源 管 理 至 关 重 要, 因 为 节 能 技 术 在 系 统 设 计 中 正 越 来 越 流 行 各 种 分 析 工 具 完 善 了 TLA7Sxx 系 列 串 行 分 析 仪 采 集 功 能, 这 些 工 具 提 供 了 协 议 解 码 和 错 误 报 告 功 能, 如 图 35 所 示 www.tektronix.com.cn/logic_analyzers 25

入 门 手 册 小 结 逻 辑 分 析 仪 是 各 级 数 字 调 试 不 可 或 缺 的 工 具 随 着 数 字 设 计 的 速 度 和 复 杂 性 不 断 提 高, 逻 辑 分 析 仪 解 决 方 案 必 须 作 出 反 应 它 们 必 须 提 供 相 应 的 速 度, 能 够 捕 获 最 快 最 短 暂 的 异 常 事 件 ; 拥 有 相 应 的 容 量, 能 够 以 高 分 辨 率 查 看 所 有 通 道 ; 拥 有 相 应 的 存 储 深 度, 能 够 解 析 多 个 周 期 中 数 十 个 数 百 个 甚 至 数 千 个 信 号 之 间 的 关 系 本 文 参 考 了 可 以 满 足 这 些 要 求 的 泰 克 TLA 系 列 逻 辑 分 析 仪 我 们 已 经 看 到 触 发 ( 及 其 使 用 方 式 ) 高 分 辨 率 采 样 及 通 过 同 一 只 探 头 同 时 采 集 定 时 信 息 和 状 态 信 息 等 创 新 功 能 可 以 怎 样 提 高 逻 辑 分 析 仪 的 效 率 触 发 可 以 确 认 怀 疑 的 问 题, 或 发 现 完 全 意 想 不 到 的 错 误 最 重 要 的 是, 触 发 提 供 了 各 种 各 样 的 工 具, 可 以 测 试 猜 测 的 问 题, 或 定 位 间 歇 性 事 件 逻 辑 分 析 仪 的 触 发 选 项 范 围 标 志 着 其 用 途 的 广 泛 程 度 高 分 辨 率 采 样 结 构, 如 MagniVu 采 集, 可 以 发 现 与 信 号 行 为 有 关 的 没 有 见 过 的 细 节 更 频 繁 地 采 样, 如 MagniVu 采 集, 可 以 提 高 检 测 到 二 进 制 数 据 变 化 的 机 会, 包 括 故 意 变 化 或 非 故 意 变 化 通 过 一 只 探 头 同 时 采 集 状 态 数 据 和 高 速 定 时 数 据 的 概 念 已 经 出 现 这 种 功 能 正 越 来 越 多 地 帮 助 设 计 人 员 收 集 大 量 的 与 设 备 有 关 的 数 据, 然 后 分 析 定 时 图 与 高 级 状 态 活 动 之 间 的 关 系 其 它 相 关 视 图 也 可 以 为 调 试 提 供 支 持 : 时 间 相 关 的 模 拟 波 形 和 数 字 波 形, 列 表 图 和 协 议 图, 多 通 道 眼 图, 实 时 软 件 轨 迹, 直 方 图, 等 等 一 系 列 其 它 特 点, 如 采 集 存 储 器 显 示 和 分 析 功 能 集 成 模 拟 工 具 甚 至 模 块 化, 使 得 逻 辑 分 析 仪 成 为 快 速 查 找 数 字 问 题, 满 足 激 进 的 设 计 时 间 表 的 首 选 工 具 业 内 领 先 的 TLA 系 列 逻 辑 分 析 仪 已 经 得 到 改 进, 可 以 满 足 当 前 挑 战, 并 将 继 续 迎 接 新 出 现 的 挑 战 26 www.tektronix.com.cn/logic_analyzers

术 语 表 ( 为 便 于 参 考, 术 语 表 中 还 包 括 本 文 件 中 没 有 使 用 的 常 见 术 语 ) A Amplitude ( 幅 度 ): 信 号 的 量 级 或 强 度 在 电 子 中, 幅 度 通 常 指 电 压 或 功 率 Analog-to-Digital Converter( 模 数 转 换 器 )(ADC): 一 种 数 字 电 子 元 器 件, 把 电 信 号 转 换 成 离 散 的 二 进 制 值 Analog Signal ( 模 拟 信 号 ): 一 种 具 有 连 续 可 变 电 压 的 信 号 Attenuation( 衰 减 ): 信 号 从 一 个 点 传 送 到 另 一 个 点 过 程 中 信 号 幅 度 下 降 Asynchronous( 异 步 ): 非 同 步 逻 辑 分 析 仪 运 行 自 己 的 采 样 时 钟 时 钟 是 独 立 的, 不 知 道 被 测 设 备 上 的 定 时 这 是 定 时 采 集 模 式 的 基 础 B Bandwidth( 带 宽 ): 一 种 频 率 范 围, 通 常 由 -3 db 限 定 Ball Grid Array ( 球 栅 阵 列 )(BGA): 一 种 集 成 电 路 封 装 方 式 Bit( 位 ): 一 种 二 进 制 字 符, 其 状 态 可 以 是 1 或 0 Byte( 字 节 ): 一 种 数 字 信 息 单 位, 通 常 由 8 位 组 成 C Cursor( 光 标 ): 一 种 屏 幕 上 的 标 记, 可 用 与 波 形 对 准, 进 行 更 准 确 的 测 量 D Decibel ( 分 贝 )(db): 用 来 表 示 两 个 电 信 号 之 间 相 对 功 率 差 的 单 位, 等 于 两 个 电 平 之 比 的 常 用 对 数 乘 以 10 Digital Signal ( 数 字 信 号 ): 一 种 用 离 散 二 进 制 数 字 表 示 其 电 压 样 点 的 信 号 Digital Oscilloscope ( 数 字 示 波 器 ): 一 种 示 波 器, 使 用 模 数 转 换 器 (ADC) 把 测 得 的 电 压 转 换 成 数 字 信 息 它 分 成 三 种 : 数 字 存 储 示 波 器 数 字 荧 光 示 波 器 和 数 字 采 样 示 波 器 Digital Phosphor Oscilloscope ( 数 字 荧 光 示 波 器 )(DPO): 一 种 数 字 示 波 器, 其 模 型 与 模 拟 示 波 器 的 显 示 特 点 非 常 类 似, 同 时 提 供 了 传 统 数 字 示 波 器 的 优 点 ( 波 形 存 储 自 动 测 量 等 等 ) DPO 使 用 并 行 处 理 结 构, 把 信 号 传 送 到 光 栅 类 型 显 示 器 上, 实 时 提 供 信 号 特 点 的 辉 度 等 级 视 图 DPO 使 用 三 个 维 度 显 示 信 号 : 幅 度 时 间 幅 度 在 时 间 上 的 分 布 Digital Sampling Oscilloscope ( 数 字 采 样 示 波 器 ): 一 种 数 字 示 波 器, 采 用 等 效 时 间 采 样 方 法, 捕 获 和 显 示 信 号 样 点, 特 别 适 合 准 确 地 捕 获 频 率 成 分 比 示 波 器 采 样 率 高 得 多 的 信 号 www.tektronix.com.cn/logic_analyzers 27

入 门 手 册 Digital Storage Oscilloscope ( 数 字 存 储 示 波 器 )(DSO): 一 种 数 字 示 波 器, 它 通 过 数 字 采 样 采 集 信 号 ( 使 用 模 数 转 换 器 ) 它 使 用 并 行 处 理 结 构, 控 制 采 集 用 户 界 面 和 光 栅 显 示 Digitize ( 数 字 化 ): 水 平 系 统 中 的 模 数 转 换 器 (ADC) 在 离 散 时 点 对 信 号 采 样, 然 后 在 这 些 点 中 把 信 号 电 压 转 换 成 数 字 值 ( 称 为 样 点 ) 的 过 程 Dual Inline Memory Module ( 双 列 直 插 存 储 器 模 块 ) (DIMM): PC 平 台 中 动 态 随 机 访 问 存 储 器 使 用 的 流 行 的 封 装 方 式 Dynamic Random Access Memory ( 动 态 随 机 访 问 存 储 器 )(DRAM): 一 种 存 储 器, 在 单 独 的 电 容 器 中 存 储 每 个 数 据 位 Device Under Test ( 被 测 器 件 )(DUT): 测 量 仪 器 测 试 的 器 件 F Fully Buffered Dual Inline Memory Module ( 全 面 缓 冲 双 列 直 插 赶 集 器 模 块 )(FB-DIMM): 一 种 下 一 代 存 储 器 结 构 Fine-pitch Ball Grid Array ( 精 细 间 隙 球 栅 阵 列 )(FBGA): 一 种 集 成 电 路 封 装 方 式 Frequency( 频 率 ): 信 号 在 一 秒 内 重 复 的 次 数, 单 位 为 赫 兹 ( 每 秒 周 期 数 ) 频 率 等 于 1/ 周 期 G Gigabit ( 千 兆 位 )(Gb): 10 亿 个 单 位 信 息 H Hertz ( 赫 兹 )(Hz): 每 秒 一 个 周 期, 频 率 单 位 I Input/Output ( 输 入 / 输 出 )(I/O): 一 般 指 进 出 设 备 的 信 号 Integrated Circuit ( 集 成 电 路 ) (IC): 一 套 元 器 件 及 芯 片 上 蚀 刻 或 印 刷 的 互 连 icapture TM Multiplexing(iCapture TM 复 用 ): 通 过 一 只 逻 辑 分 析 仪 探 头 同 时 提 供 数 字 采 集 和 模 拟 采 集 ilink TM Toolset(iLink TM 工 具 集 ): 由 专 门 设 计 的 多 个 单 元 组 成, 以 加 快 问 题 检 测 和 调 试 速 度, 包 括 :icapture TM 复 用 iview TM 显 示 和 iverify TM 分 析 iview TM Display(iView TM 显 示 ): 在 逻 辑 分 析 仪 显 示 画 面 上 提 供 时 间 相 关 的 逻 辑 析 仪 和 示 波 器 集 成 测 量 iverify TM Analysis(iVerify TM 分 析 ): 使 用 示 波 器 生 成 的 眼 图 提 供 多 通 道 总 线 分 析 和 验 证 测 试 K Kilohertz ( 千 赫 )(khz): 1000 赫 兹 L Loading( 负 荷 ): 探 头 和 示 波 器 与 被 测 电 路 之 间 无 意 的 交 互, 其 会 使 信 号 失 真 Logic Analyzer ( 逻 辑 分 析 仪 ): 用 来 查 看 多 个 数 字 信 号 逻 辑 状 态 随 时 间 变 化 的 仪 器 它 分 析 数 字 数 据, 可 以 作 为 实 时 软 件 执 行 数 据 流 量 值 状 态 序 列 等 表 示 数 据 Gigabyte ( 千 兆 字 节 )(GB): 10 亿 字 节 信 息 Gigahertz ( 千 兆 赫 )(GHz): 10 亿 赫 兹 Glitch ( 毛 刺 ): 电 路 中 间 歇 性 的 高 速 错 误 Gigatransfers per second ( 每 秒 传 送 千 兆 次 )(GT/s): 每 秒 10 亿 次 数 据 传 送 28 www.tektronix.com.cn/logic_analyzers

M MagniVu TM Acquisition (MagniVu TM 采 集 技 术 ): TLA 系 列 逻 辑 分 析 仪 核 心 采 用 的 独 特 的 高 分 辨 率 采 样 结 构 MagniVu 采 集 技 术 以 更 高 的 分 辨 率 提 供 了 触 发 点 周 围 的 信 号 活 动 的 动 态 记 录 Megabit ( 兆 位 )(Mb): 100 万 个 信 息 单 位 Megabyte ( 兆 字 节 )(MB): 100 万 字 节 信 息 Megahertz ( 兆 赫 )(MHz): 100 万 赫 兹 Megasamples per second ( 每 秒 兆 样 点 )(MS/s): 一 种 采 样 率 单 位, 等 于 每 秒 100 万 样 点 Microsecond ( 微 秒 )(µs): 一 种 时 间 单 位, 等 于 0. 000001 秒 Millisecond ( 毫 秒 )(ms): 一 种 时 间 单 位, 等 于 0.001 秒 Motherboard ( 主 板 ): 计 算 机 的 主 系 统 电 路 板, 其 中 包 括 处 理 器 存 储 控 制 器 硬 盘 控 制 器 输 入 / 输 出 接 口 芯 片 组 等 等 其 它 电 路 板 ( 如 DIMMs 和 视 频 卡 ) 插 入 到 主 板 中 Megatransfers per Second ( 每 秒 传 送 百 万 次 )(MT/s): 每 秒 100 万 次 数 据 传 送 N Nanosecond ( 纳 秒 )(ns): 一 种 时 间 单 位, 等 于 0. 000000001 秒 Noise( 噪 声 ): 电 路 中 不 想 要 的 电 压 或 电 流 P Period ( 周 期 ): 一 个 波 完 成 一 个 周 期 所 用 的 时 间 周 期 等 于 1/ 频 率 Pre-Trigger Viewing( 触 发 前 查 看 ): 数 字 仪 器 捕 获 触 发 事 件 前 信 号 行 为 的 能 力 确 定 触 发 点 前 和 触 发 点 后 看 得 见 的 信 号 长 度 Probe ( 探 头 ): 一 种 测 量 仪 器 输 入 设 备, 通 常 有 带 尖 的 金 属 尖 端 ( 与 电 路 单 元 形 成 电 气 接 触 ) 连 接 电 路 接 地 参 考 的 引 线 及 用 来 传 送 信 号 及 接 地 仪 器 的 软 电 缆 Pulse( 脉 冲 ): 一 种 常 见 的 拥 有 快 速 上 升 沿 宽 度 和 快 速 下 降 沿 的 波 形 形 状 Pulse Train( 脉 冲 串 ): 一 起 传 送 的 脉 冲 集 合 Pulse Width( 脉 宽 ): 脉 冲 从 低 到 高, 然 后 再 回 到 低 所 需 的 时 间, 一 般 在 全 部 电 压 的 50% 处 测 量 R Random Access Memory ( 随 机 访 问 存 储 器 )(RAM): 可 以 以 任 何 顺 序 访 问 信 息 的 一 种 存 储 设 备 Ramps( 斜 坡 ): 以 恒 定 速 率 改 变 的 正 弦 波 电 压 电 平 之 间 的 跳 变 Record Length ( 记 录 长 度 ): 用 来 创 建 一 个 信 号 记 录 的 波 形 点 数 Rise Time ( 上 升 时 间 ): 脉 冲 前 沿 从 低 值 上 升 到 高 值 所 需 的 时 间, 一 般 测 量 从 10% 上 升 到 90% 所 需 的 时 间 O Oscilloscope ( 示 波 器 ): 用 来 查 看 电 压 随 时 间 变 化 的 仪 器 示 波 器 来 自 于 oscillate ( 振 荡 ), 因 为 通 常 使 用 示 波 器 测 量 振 荡 的 电 压 www.tektronix.com.cn/logic_analyzers 29

入 门 手 册 S Sampling( 采 样 ): 把 部 分 输 入 信 号 转 换 成 离 散 的 电 气 值, 以 由 仪 器 存 储 处 理 和 / 或 显 示 Sample Point( 样 点 ): 来 自 ADC 的 原 始 数 据, 用 来 计 算 波 形 点 Sample Rate( 采 样 率 ): 指 数 字 测 量 仪 器 对 信 号 采 样 的 频 次, 单 位 为 每 秒 样 点 数 (S/s) Signal Integrity ( 信 号 完 整 性 ): 准 确 重 建 信 号, 取 决 于 仪 器 的 系 统 和 性 能 考 虑 因 素 及 采 集 信 号 使 用 的 探 头 Signal Source ( 信 号 源 ): 一 种 测 试 设 备, 用 来 把 信 号 注 入 到 电 路 输 入 中 ; 然 后 由 测 量 仪 器 读 取 电 路 输 出 也 称 为 信 号 发 生 器 System Under Test ( 被 测 系 统 )(SUT): 测 量 仪 器 测 试 的 系 统 T Trigger( 触 发 器 ): 测 量 仪 器 上 参 考 水 平 扫 描 的 电 路 Trigger Holdoff ( 触 发 释 抑 ): 一 种 控 制 功 能, 允 许 调 节 有 效 触 发 后 的 时 间 周 期, 在 此 期 间, 仪 器 将 不 能 触 发 Trigger Level ( 触 发 电 平 ): 在 触 发 电 路 启 动 扫 描 前 触 发 源 信 号 必 须 达 到 的 电 压 电 平 V Volt( 伏 特 ) (V): 电 位 差 单 位 Voltage( 电 压 ): 两 点 之 间 的 电 位 差, 单 位 为 伏 特 W Wave( 波 ): 随 时 间 推 移 重 复 的 码 型 的 通 称 常 见 类 型 包 括 : 正 弦 波, 方 波, 矩 形 波, 锯 齿 波, 三 角 波, 阶 跃 波, 脉 冲 波, 周 期 波, 非 周 期 波, 同 步 波, 异 步 波 Synchronous( 同 步 ): 同 步 化 逻 辑 分 析 仪 状 态 采 集 称 为 同 步, 因 为 逻 辑 分 析 仪 从 外 部 来 源 接 收 时 钟 信 息, 通 常 是 DUT 这 会 导 致 两 个 系 统 被 同 步, 逻 辑 分 析 仪 只 在 DUT 活 动 时 才 采 集 数 据 这 称 为 状 态 采 集 模 式 30 www.tektronix.com.cn/logic_analyzers

泰 克 科 技 ( 中 国 ) 有 限 公 司 上 海 市 浦 东 新 区 川 桥 路 1227 号 邮 编 :201206 电 话 :(86 21) 5031 2000 传 真 :(86 21) 5899 3156 泰 克 北 京 办 事 处 北 京 市 海 淀 区 花 园 路 4 号 通 恒 大 厦 1 楼 101 室 邮 编 :100088 电 话 :(86 10) 5795 0700 传 真 :(86 10) 6235 1236 泰 克 上 海 办 事 处 上 海 市 徐 汇 区 宜 山 路 900 号 科 技 大 楼 C 楼 7 楼 邮 编 :200233 电 话 :(86 21) 3397 0800 传 真 :(86 21) 6289 7267 泰 克 深 圳 办 事 处 深 圳 市 福 田 区 南 园 路 68 号 上 步 大 厦 21 层 G/H/I/J 室 邮 编 :518031 电 话 :(86 755) 8246 0909 传 真 :(86 755) 8246 1539 泰 克 成 都 办 事 处 成 都 市 锦 江 区 三 色 路 38 号 博 瑞 创 意 成 都 B 座 1604 邮 编 :610063 电 话 :(86 28) 6530 4900 传 真 :(86 28) 8527 0053 泰 克 西 安 办 事 处 西 安 市 二 环 南 路 西 段 88 号 老 三 届 世 纪 星 大 厦 20 层 K 座 邮 编 :710065 电 话 :(86 29) 8723 1794 传 真 :(86 29) 8721 8549 泰 克 武 汉 办 事 处 武 汉 市 解 放 大 道 686 号 世 贸 广 场 1806 室 邮 编 :430022 电 话 :(86 27) 8781 2760/2831 泰 克 香 港 办 事 处 香 港 九 龙 尖 沙 咀 弥 敦 道 132 号 美 丽 华 大 厦 808-809 室 电 话 :(852) 2585 6688 传 真 :(852) 2598 6260 更 多 信 息 泰 克 公 司 备 有 内 容 丰 富 的 各 种 应 用 手 册 技 术 介 绍 和 其 他 资 料, 并 不 断 予 以 充 实, 以 帮 助 那 些 从 事 前 沿 技 术 研 究 的 工 程 师 们 请 访 问 : www.tektronix.com.cn 版 权 2010, 泰 克 公 司 泰 克 公 司 保 留 所 有 权 利 泰 克 公 司 的 产 品 受 美 国 和 国 外 专 利 权 保 护, 包 括 已 发 布 和 尚 未 发 布 的 产 品 以 往 出 版 的 相 关 资 料 信 息 由 本 出 版 物 的 信 息 代 替 泰 克 公 司 保 留 更 改 产 品 规 格 和 定 价 的 权 利 TEKTRONIX 和 TEK 是 泰 克 有 限 公 司 的 注 册 商 标 所 有 其 他 相 关 商 标 名 称 是 各 自 公 司 的 服 务 商 标 或 注 册 商 标 10/10 Internal/WW 52C-14266-5 www.tektronix.com.cn/logic_analyzers 31