ebook122-3

Similar documents
本书简要介绍了Verilog硬件描述语言的基础知识,包括语言的基本内容和基本结构 ,以及利用该语言在各种层次上对数字系统的建模方法

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

ebook122-11

Huawei Technologies Co

untitled

B 6 A A N A S A +V B B B +V 2

,,,,,,,,,,,,, :,, ;,,,,, ( ),,,, : ( ) ; ( ) ; ( ) ( ) ; ( ) ( A ) ; ( ) ( ),,,,,,, 80

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

恩 典 课 堂 教 学 概 览 课 堂 环 节 持 续 时 间 活 动 所 需 材 料 1 欢 迎 持 续 在 门 口 欢 迎 学 生, 聆 听 他 们 分 享 本 周 开 心 或 烦 恼 的 事 预 备 活 动 <10 分 钟 A 猜 猜 是 谁 B 上 帝 的 礼 物 无 孩 子 们 的 儿 时

团 契 就 体 力 来 说, 参 孙 乃 是 地 上 极 强 壮 的 人 ; 但 在 自 制 忠 贞 和 坚 稳 上, 他 却 是 人 间 最 软 弱 的 了 先 祖 与 先 知 第 页 教 室 布 置 见 第 一 课 课 堂 教 学 概 览 课 堂 环 节 持 续 时 间 活 动

Microsoft Word - 完全手冊-課程.doc

勞動條件檢查執行重點(雲林)_ [相容模式]

醋 水 法 在 水 盆 內 放 入 約 七 分 滿 的 水 與 1/2 到 1 小 杯 的 醋 量, 將 髒 襪 子 浸 泡 一 晚, 隔 天 再 丟 入 洗 衣 機, 就 能 洗 得 相 當 乾 淨 醋 有 殺 菌 除 臭 和 漂 白 功 效, 使 用 過 的 醋 水, 還 可 清 理 地 板,

穨 PDF

第一冊 第四章 分裂與再統一 班級 座號 姓吊

Microsoft Word - 國文.doc

1 重 要 提 示 基 金 管 理 人 的 董 事 会 及 董 事 保 证 本 报 告 所 载 资 料 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 内 容 的 真 实 性 准 确 性 和 完 整 性 承 担 个 别 及 连 带 责 任 基 金 托 管 人 中 国

new_born_with_EB

目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016

2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 一 一 般 公 共 服 务 支 出 二

untitled

恩 典 1 * 课 堂 环 节 持 续 时 间 活 动 所 需 材 料 欢 迎 在 门 口 欢 迎 学 生 ; 倾 听 他 们 的 快 乐 或 烦 恼 预 备 活 动 <10 分 钟 A. 顺 境 或 逆 境 B. 平 衡 书 本 赞 美 和 祈 祷 <10 分 钟 课 堂 教 学 概

Microsoft Word - FINAL CHINESE VER- MOH OOB CODE OF PROFESSIONAL CONDUCT _AMENDED VERSION II_ edited

目 录

团 契 课 堂 教 学 概 览 课 堂 环 节 持 续 时 间 活 动 所 需 材 料 欢 迎 在 门 口 欢 迎 学 生, 聆 听 他 们 分 享 本 周 开 心 或 烦 恼 的 事 -- 无 预 备 活 动 <10 分 钟 A 味 觉 检 测 赞 美 和 祈 祷 <10 分 钟

第 八 条 凡 在 考 评 过 程 中 提 供 虚 假 信 息 的, 一 经 查 实, 视 情 节 轻 重, 扣 除 该 实 验 室 5~10 分, 并 通 报 批 评 第 九 条 文 科 学 院 没 有 实 验 室 的, 其 学 院 年 度 工 作 目 标 管 理 考 核 中 实 验 室 工 作

服 侍 课 堂 教 学 概 览 课 堂 环 节 持 续 时 间 活 动 所 需 材 料 欢 迎 预 备 活 动 赞 美 祈 祷 圣 经 课 程 <10 分 钟 <10 分 钟 <20 分 钟 在 门 口 欢 迎 学 生, 听 他 们 分 享 开 心 或 不 如 意 的 事 A 时 间 表 B 偶 像

Untitled

团 契 课 堂 教 学 概 览 课 堂 环 节 持 续 时 间 活 动 所 需 材 料 欢 迎 在 门 口 欢 迎 学 生, 听 他 们 分 享 开 心 或 不 如 意 的 事 A. 种 子 发 芽 无 使 用 上 星 期 的 物 品 1 预 备 活 动 <10 分 钟 B. 种 子 C. 生 长

控 制 评 价 结 果 推 测 未 来 内 部 控 制 的 有 效 性 具 有 一 定 的 风 险 二 内 部 控 制 评 价 结 论 根 据 公 司 财 务 报 告 内 部 控 制 重 大 缺 陷 的 认 定 情 况, 于 内 部 控 制 评 价 报 告 基 准 日, 不 存 在 财 务 报 告

窑 缘 愿 窑 意 义 重 大 袁 与 之 相 关 的 表 观 遗 传 学 研 究 主 要 来 自 动 物 实 验 遥 有 学 者 发 现 母 鼠 对 幼 仔 的 舔 舐 和 理 毛 渊 造 蚤 糟 噪 蚤 灶 早 葬 灶 凿 早 则 燥 燥 皂 蚤 灶 早 袁 蕴 郧 冤 及 弓 背 看 护 行

评 估 内 容 与 内 涵 评 估 方 式 评 2.2 管 理 制 度 (10 ) 重 点 制 度 落 实 情 况 4 院 级 和 职 能 部 门 有 明 确 的 会 议 制 度 培 训 制 度 质 量 评 价 制 度 师 资 培 训 制 度 评 价 体 系 等, 并 有 实 施 办 法

评 标 准 扣.4 全 科 医 学 科.4. 建 立 全 科 医 学 科 作 为 培 训 基 地 的 综 合 医 院 独 立 设 置 全 科 医 学 科, 牵 头 承 担 全 科 住 培, 与 相 关 临 床 轮 转 科 室 密 切 协 同, 指 导 帮 助 基 层 实 践 基 地 加 强 带 教

恩 典 课 堂 教 学 概 览 1 * 欢 迎 课 堂 环 节 持 续 时 间 活 动 所 需 材 料 在 门 口 欢 迎 孩 子 们, 聆 听 他 们 开 心 或 烦 恼 的 事 情 预 备 活 动 <10 分 钟 A. 婴 孩 时 间 赞 美 和 祈 祷 <10 分 钟 B. 耶 稣


國立嘉義高中96學年度資優班語資班成班考國文科試題

汇集全球21位医生的经验和智慧,总结出最实用的专业建议,这些都是最值得你牢记的健康提醒

1 行 业 发 展 不 平 衡 我 国 房 地 产 中 介 服 务 业 起 步 较 晚, 专 业 分 工 程 度 和 国 外 发 达 国 家 相 比 还 有 很 大 差 距 房 地 产 中 介 服 务 行 业 的 发 展 水 平 与 房 地 产 开 发 行 业 的 市 场 化 水 平 密 切 相 关

恩 典 课 堂 教 学 概 览 课 堂 环 节 持 续 时 间 活 动 所 需 材 料 欢 迎 在 门 口 欢 迎 孩 子, 聆 听 他 们 分 享 本 周 开 心 或 烦 恼 的 事 无 预 备 活 动 <10 分 钟 A 十 诫 石 板 B 我 是 谁? 粘 土 牙 签 一 些 名 人 的 照

安 全 注 意 事 项 为 减 少 故 障 触 电 受 伤 火 灾 死 亡 以 及 设 备 或 财 产 损 坏 的 危 险, 请 务 必 遵 守 以 下 安 全 注 意 事 项 标 志 说 明 以 下 标 志 用 于 对 因 无 视 标 志 说 明 和 使 用 不 当 而 导 致 的 危 险 伤 害

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

"!""#!"#$!"""!""$ %&# #$(!""%!""& ) *+#,$ -.# % /&01!""(!" " &#(& ) 203,+," #$4,$ #5, %&# #$(!""%!""( #$!""# $ $!"#

<4D F736F F D20A4E9A5BBA6BFA4E1AEC9A54EA454A46AA657ABB0A4A7ACE3A8732E646F6378>

untitled

目 錄 第 一 章 緒 論 第 一 節 研 究 背 景 與 動 機... 2 第 二 節 研 究 目 的... 2 第 三 節 研 究 方 法... 2 第 二 章 溫 泉 的 歷 史... 3 第 三 章 溫 泉 法 律 與 分 布 第 一 節 溫 泉 的 法 律 / 定 義... 5 第 二 節


总 前 言 农 牧 业 资 源 调 查 和 农 牧 业 区 划 是 实 现 现 代 化 农 业 的 基 础 工 作 根 据 1980 年 青 海 省 农 牧 业 区 划 委 员 会 第 二 次 农 牧 业 区 划 会 议, 关 于 县 级 农 业 自 然 资 源 调 查 和 农 业 区 划 工 作

于 红 色 区 域,0 篇 处 于 橙 色 区 域,8 篇 处 于 黄 色 区 域,3 篇 处 于 蓝 色 区 域 : 新 財 富 舆 情 研 究 中 心 表 热 点 事 件 排 行 榜 代 码 公 司 事 件 发 表 媒 体 事 件 属 性 新 热 度 北 人 股 份 审 核 过 会

<4D F736F F D20A5FAA9FAAABAB4BCBC7AA15DA440A15EB773A5C1AF5AA1C4B871B8D1A15DB16DA6E2A15E2E646F63>

VN-Cover

邏輯分析儀的概念與原理-展示版

台北好客講堂

灵星LED视频屏控制板

2

Microsoft Word - FPGA的学习流程.doc

穨R _report.PDF

untitled

一、耳疾病防治1

Microsoft Word - 目次範例-catalog doc

:,,,,,,, ( CIP) /,. :, ISBN :. F CIP ( 2002) : : * : : : : 174 ( A ) : : ( 023)

Simulator By SunLingxi 2003


樣 的 深 不 管 是 怎 樣, 對 方 真 的 要 結 束 同 居 關 係, 真 的 都 會 好 好 講 清 楚 和 溝 通, 傷 害 是 一 定 會 有, 但 不 要 用 到 雙 方 像 敵 人 一 樣, 這 樣 對 雙 方 來 說, 有 什 麼 意 義 存 在 呢?! 因 為 彼 此 之 間

你很難不去注意到她

a b c d e f g C2 C1 2

第六章

觀 音 佛 祖 送 給 衣 宸 的 話 005 自 序 007 Part 1 修 行 心 體 驗 一 篇 看 見 佛 祖 012 二 篇 在 家 修 行 039 三 篇 世 界 的 創 造 者 054 四 篇 大 慈 悲 079 五 篇 最 珍 貴 的 禮 物 095 六 篇 自 救 法 力 練 習

安徽省现代粮食流通产业发展规划

<C1F7CCE5C1A6D1A7D3EBC8C8B9A4BBF9B4A12DB3C2C0F12DC7E5BBAAB4F33F504446>

标题

___证券投资基金招募说明书1

bingdian001.com

IC芯片自主创新设计实验

营 业, 因 业 务 往 来 关 系, 与 宜 宾 大 小 商 帮 比 较 熟 悉 曹 九 龄 熊 郁 村 便 约 我 参 加 共 同 发 起 熊 曹 二 人 与 我 又 是 世 交, 在 实 业 救 国 思 想 激 励 下, 同 时 也 为 个 人 将 来 发 展 前 途 计, 我 也 欣 然 乐

非 常 感 谢 您 购 买 本 电 脑 纫 机 请 仔 细 阅 读 本 服 务 手 册, 以 便 您 能 了 解 本 机 的 一 些 特 点 及 能 安 全 地 使 用 好 本 机 此 外, 本 机 使 用 的 都 是 原 装 零 件, 品 质 保 证, 能 为 您 提 供 一 个 多 彩 的 制

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

真 是 可 怜 啊! 嗯, 真 是 可 怜 啊, 不 过 如 果 这 次 实 验 成 功 了, 我 就 可 以 回 家 与 家 人 团 聚 了 回 家? 就 是 死 着 回 家 也 是 会 啊 国 家 会 这 么 容 易 让 你 泄 露 机 / 密 么? 只 有 死 人 才 不 会 泄 密 你 们

第一章.doc

you have a dream, you got to protect it


變 更 臺 中 縣 都 市 計 畫 審 核 摘 要 表 項 目 說 明 都 市 計 畫 名 稱 擴 大 及 變 更 烏 日 都 市 計 畫 ( 第 三 次 通 盤 檢 討 ) 再 提 會 討 論 案 都 市 計 畫 法 第 26 條 變 更 都 市 計 畫 法 令 依 據 行 政 院

因 味 V 取 性 又 鸟 U 且 最 大 罗 海 惜 梅 理 春 并 贵 K a t h l ee n S c h w e r d t n er M f l e z S e b a s t i a n C A Fe rs e T 民 伊 ' 国 漳 尤 地 视 峰 州 至 周 期 甚 主 第 应

附件1

中 国 管 理 科 学 年 则 基 于 离 差 最 大 化 的 思 想 综 合 利 用 各 种 赋 权 法 的 优 势 提 出 了 一 种 组 合 赋 权 方 法 求 解 最 优 规 划 模 型 来 确 定 组 合 权 重 王 中 兴 李 桥, 则 认 为 需 要 确 定 的 集 成 权 重 与 已

<4D F736F F D20AE67BD62B6A4C1FAB0EAB2BEA661B056BD6DAAF0B0EAB3F8A7695F30372E31302E31365F2E646F63>

量 來 調 節 體 溫 隨 年 齡 老 化, 真 皮 層 之 厚 度 約 減 少 20%, 其 中 的 血 管 汗 腺 與 神 經 末 梢 的 數 量 也 隨 之 減 少, 造 成 老 人 的 體 溫 調 節 功 能 降 低 發 炎 反 應 減 慢 對 觸 覺 與 痛 覺 感 降 低 提 供 皮 膚


D/A DAC ( 1us) (10~20 ) DAC0832 1

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

丁无悔

Microsoft Word - 吴教普〔2016〕19号.doc


042-

019-

親鸞和懺悔道的哲學

027-

025-

江 苏 科 技 大 学 809 机 械 设 计 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 江 苏 科 技 大 学 810 机 械 原 理 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 江 苏 科 技 大 学 机 械 原

太 原 科 技 大 学 811 西 方 哲 学 史 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 1-1 本 套 资 料 没 真 题 注 : 若 考 前 收 集 到 最 新 考 研 真 题, 我 们 将 免 费 邮 件 发 送 给 购 买 资 料 的 考 生, 若 考 生 自

Transcription:

3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u t G a t e O u t G a t e \ O u t G a t e O u t G a t e Verilog HDL A a l w a y s ( ) A LWAY S( ) \initial i n i t i a l 3.2 Verilog HDL /* */ // 3.3 Verilog HDL Verilog

3 Verilog 15 initial begint o p = 3 b001; #2 T o p = 3 b011; e n d i n i t i a l begin T o p = 3 b001; #2 T o p = 3 b 011 ; e n d 3.4 $ 0 0 $d i s p l a y ("Hi, you have reached LT today"); /* $d i s p l a y */ $t i m e // 10 3.5 ` Verilog `define, `undef `ifdef, `else, `endif `default_nettype `include `resetall `timescale `unconnected_drive, `nounconnected_drive `celldefine, `endcelldefine 3.5.1 `define `undef ` d e f i n e C #define ` d e f i n e M A X _ B U S _ S I Z E 32 r e g [ `M A X _ B U S _ S I Z E - 10 ] A d d R e g; ` d e f i n e ` d e f i n e M A X B U S S I Z E `undef ` d e f i n e W O R D 16 // w i r e [ `W O R D 1] Bus;

16 Verilog HDL ` u n d e f W O R D // ` u n d e f, W O R D. 3.5.2 `ifdef `else `endif ` i f d e f W I N D O W S p a r a m e t e r WORD_SIZE = 16 ` e l s e p a r a m e t e r W O R D _ S I Z E = 32 ` e n d i f W I N D O W S ` e l s e `ifdef 3.5.3 `default_nettype `default_nettype wand 3.5.4 `include ` i n c l u d e, ` i n c l u d e.. /.. /primitives.v../../ p r i m i t i v e s. v 3.5.5 `resetall ` r e s e t a l l 3.5.6 `timescale Verilog HDL ` t i m e s c a l e ` t i m e s c a l e ` t i m e s c a l e t i m e _ u n i t / t i m e _ p r e c i s i o n t i m e _ u n i t t i m e _ p re c i s i o n 1 1 0 100 s m s u s n s p s f s ` t i m e s c a l e 1 n s / 1 0 0 p s 1ns, 100 p s `timescale,

3 Verilog 17 ` t i m e s c a l e 1ns/ 100ps m o d u l e A n d F u n c (Z, A, B ); o u t p u t Z; i n p u t A, B; a n d # (5.22, 6.17 ) A l (Z, A, B); // e n d m o d u l e n s 1/10 ns 100 ps 5. 22 5.2 ns, 6. 17 6.2 ns ` t i m e s c a l e, ` t i m e s c a l e 1 0 n s / 1 n s 5. 22 52ns, 6.17 62 n s ` t i m e s c a l e ` t i m e s c a l e ` r e s e t a l l ` t i m e s c a l e ` t i m e s c a l e 1ns/ 100ps m o d u l e A n d F u n c (Z, A, B ); o u t p u t Z; i n p u t A, B; a n d # (5.22, 6.17 ) A l (Z, A, B) ; e n d m o d u l e ` t i m e s c a l e 10ns/ 1ns m o d u l e T B; r e g PutA, PutB; w i r e G e t O; i n i t i a l b e g i n P u ta = 0; P u t B = 0; #5.21 P u t B = 1; #10.4 P u t A = 1; #15 P u t B = 0; e n d A n d F u n c A F 1(GetO, PutA, PutB) ; e n d m o d u l e ` t i m e s c a l e ` t i m e s c a l e 5. 22 5.2 ns, 6.17 6.2 ns; 5. 21 52 ns, 10.4 104 ns, 15 150 ns T B 100 ps T B 100 ps 52 ns 520*100 ps 1 04 1040*100 ps 1 50 1500*100 ps 100 A n d F u n c T B A d d F u n c T B ` t i m e s c a l e

18 Verilog HDL 3.5.7 `unconnected_drive `nounconnected_drive ` u n c o n n e c t e d _ d r i v e p u l l 1 /* */ ` n o u n c o n n e c t e d _ d r i v e `unconnected_drive pull0 /* */ ` n o u n c o n n e c t e d _ d r i v e 3.5.8 `celldefine `endcelldefine ` c e l l d e f i n e m o d u l e F D 1 S 3 A X (D, CK, Z) ; e n d m o d u l e ` e n d c e l l d e f i n e P L I 3.6 Verilog HDL 1) 0 0 2) 1 1 3) x 4) z z 0 0 z x z 0 x 1 z 0 X 1 Z Verilog HDL Verilog HDL 1) 2) 3) _ 3.6.1

3 Verilog 19 1) 2) 1. 32 32 15 15 32 5 10000 6 110001 15 5 10001 6 110001 2. [s i z e ] base value s i z e b a s e o O b B d D h H v a l u e b a s e x z a f 5'O37 4'D2 4'B1x_01 7'Hx 4'hZ 4'd-4 8'h 2 A 3'b001 (2+3)'b10 5 4 4 7 x( x), x x x x x x x 4 z( z), z z z z, ` b x z 4 x z 3 x z 1 x z 'o721 'haf 9 8 0 x z x z 10'b10 10'bx0x1 0, 0000000010 x, x x x x x x x 0 x 1 3 ' b 1 0 0 1 _ 0 0 1 1 3'b011 5'H0FFF 5'H1F z z 8 3.6.2

20 Verilog HDL 1) 2. 0 5. 678 11572. 12 0. 1 2. // 1 2) 23_5.1e2 23510.0; 3.6E2 360.0 e E ( ) 5 E 4 0. 0005 Ve r i l o g 42. 446 42.45 42 92.5, 92.699 93 15.62 26.22 3.6.3 16 26 "INTERNAL ERROR" " R E A C H E D > H E R E " 8 A S C I I 8 A S C I INTERNAL ERROR 8 * 14 r e g [1 8*14] M e s s a g e; M e s s a g e = "INTERNAL ERROR" (\ ) \n \t \\ \ \" " \206 206 3.7 Verilog HDL 1) net type Ve r i l o g z 2) register type a l w a y s i n i t i a l x 3.7.1

3 Verilog 21 wire tri wor trior wand triand trireg tri1 tri0 supply0 supply1 n e t _ k i n d [m s bl s b] net1, net2,, n e t N; n e t _ k i n d m s b l s b 1 w i r e Rdy, Start; //2 1 w a n d [20] A d d r; //A d d r 3 w o r R d e; a s s i g n R d e = B l t & W y l; a s s i g n Rde = K b l K i p; R d e R d (wor) 1. wire t r i ( t r i ) w i r e R e s e t; w i r e [32] Cla, Pla, Sla; t r i [ M S B 1 L S B +1] A r t; wire ( t r i ) 0 1 x z 0 0 x x 0 1 x 1 x 1 x x x x x z 0 1 x z a s s i g n C l a = P l a & S l a; a s s i g n Cla = P l a ^ S l a; C l a

22 Verilog HDL C l a C l a 01 x, 11 z C l a x 1 x ( 0 1 x, 1 1 1 x z x) 2. wor t r i o r 1 1 ( t r i o r ) w o r [M S BL S B] A r t; t r i o r [M A X 1 M I N 1] Rdx, Sdx, Bdx; wor ( t r i o r ) 0 1 x z 0 0 1 x 0 1 1 1 1 1 x x 1 x x z 0 1 x z 3. wand t r i a n d ( w a n d ) 0 0 ( t r i a n d ) w a n d [-7 0] D b u s; t r i a n d Reset, Clk; wand ( t r i a n d ) 0 1 x z 0 0 0 0 0 1 0 1 x 1 x 0 x x x z 0 1 x z 4. trireg ( t r i r e g ) z x t r i r e g [18] Dbus, Abus; 5. tri0 t r i 1 t r i 0 t r i 1 0 t r i 1 t r i 0 [ 33] G n d B u s; t r i 1 [ 0 5] OtBus, ItBus; t r i 0 t r i 1 tri0 (tri1) 0 1 x z 0 0 x x 0 1 x 1 x 1 x x x x x z 0 1 x 0 ( 1 )

3 Verilog 23 6. supply0 s u p p l y 1 s u p p l y 0 0 s u p p l y 1 s u p p l y 0 Gnd, ClkGnd; s u p p l y 1 [20] Vcc; 3.7.2 Verilog HDL 1 ` d e f a u l t _ n e t t y p e ` d e f a u l t _ n e t t y p e n e t _ k i n d `default_nettype wand 1 3.7.3 s c a l a re d v e c t o re d v e c t o re d, wire vectored[31] G r b; // G r b[ 2 ] G r b [ 3 2 ] wor scalared [40] B e s t; // w o r [40] B e s t B e s t [ 2 ] B e s t [ 3 1 ] 3.7.4 5 reg integer time real realtime 1. reg r e g r e re g r e g [ m s b l s b] reg1, reg2, r e g N; m s b l s b 1 r e g [30] S a t; //S a t 4 r e g C n t; r e g [132] Kisp, Pisp, Lisp; //1, r e g [14] C o m b;

24 Verilog HDL C o m b = 2; //C o m b 14 1 1101 110 2 C o m b = 5; 2. //C o m b 15 0 101 r e g [ m s b 1 s b] m e m o r y 1 [ u p p e r 1 l o w e r 1], m e m o r y 2 [u p p e r 2 l o w e r 2], r e g [03 ] M y M e m [ 0 63 ] //M y M e m 6 4 4 r e g B o g [ 1 5 ] //B o g 5 1 M y M e m B o g 2 p a r a m e t e r A D D R _ S I Z E = 16, W O R D _ S I Z E = 8; r e g [1 W O R D _ S I Z E] R a m P a r [ A D D R _ S I Z E 1 0], D a t a R e g; R a m P a r 16 8 D a t a R e g 8 r e g [15] D i g; //D i g 5 D i g = 5'b11011;, r e g B O g[15]; //B o g 5 1 B o g = 5'b11011; r e g [03] X r o m [ 1 4 ] X r o m[1] = 4'hA; X r o m[2] = 4'h8; X r o m[3] = 4'hF; X r o m[4] = 4'h2; 1) $re a d m e m b 2) $re a d m e m b r e g [14] RomB [71] ; $ r e a d m e m b ("ram.patt", RomB); R o m b r a m. p a t t 1 1 0 1

3 Verilog 25 1110 1000 0111 0000 1001 0011 $ r e a d m e m b 7 R o m b $ r e a d m e m b $r e a d m e m b ("ram.patt", R o m B, 5, 3); R o m b[ 5 ],R o m b[ 4 ] R o m b[ 3 ] 11 0 1 11 0 0 1 0 0 0 @hex_address value @5 11001 @2 11010 $r e a d m e m b ("rom.patt", R o m B, 6); // 6 1 $r e a d m e m b ( "rom.patt",r o m B, 6, 4); // 6 4 3. Integer i n t e g e r integer1, integer2, intergern [m s b1 s b] ; m s b l s b 32 i n t e g e r A, B, C; // i n t e g e r Hist [36]; // 2 B B[ 6 ] B[ 20 1 0 ] r e g r e g [310] B r e g; i n t e g e r B i n t; //B i n t[ 6 ] B i n t[ 20 10 ] B r e g = B i n t; / B r e g[ 6 ] B r e g[ 20 10 ] B i n t /

26 Verilog HDL i n t e g e r J; r e g [30] B c q; J = 6; B c q = J; //J 32 ' b 0000 00110 // B c q 4 ' b 0110 B c q = 4'b0101. J = B c q; //J 32 ' b 0000 00101 J = 6; B c q = J; //J 32 ' b 1111 11010 //B c q 4 ' b 1010 2 4. time t i m e t i m e t i m e time_id1, time_id2,, t i m e _ i d N [ m s b1 s b] ; m s b l s b 64 t i m e E v e n t s [031]; // t i m e C u r r T i m e; 5. real r e a l t i m e //C u r r T i m e / / r e a l r e a l _ r e g 1, r e a l _ r e g 2,, r e a l _ r e g N; // r e a l t i m e r e a l t i m e _ r e g 1, r e a l t i m e _ r e g 2,, r e a l t i m e _ r e g N; r e a l t i m e r e a l r e a l Swing, Top; r e a l t i m e C u r r T i m e; r e a l 0 r e a l x z r e a l 0 r e a l R a m C n t; R a m C n t = 'b01x1z; R a m C n t ' b 01010 3.8 p a r a m e t e r p a r a m 1 = c o n s t _ e x p r 1, param2 = c o n s t _ e x p r 2,, p a r a m N = c o n s t _ e x p r N; p a r a m e t e r L I N E L E N G T H = 132, A L L _ X _ S = 16'bx; p a r a m e t e r B I T = 1, B Y T E = 8, P I = 3.14; p a r a m e t e r S T R O B E _ D E L A Y = ( B Y T E + B I T) / 2;

3 Verilog 27 p a r a m e t e r T Q _ F I L E = " /h o m e/b h a s k e r/t E S T/ a d d. t q " ; 9 1 C O u n T, 1_2 M a n y, \**1, R e a l?, \wait, Initial 2 3 4 Verilog HDL 5 7'o44, 'Bx0, 5'bx110, 'ha0, 10'd2, 'hzf 6 Q p r r e g [18*2] Q p r; Q p r = "ME" ; 7 8 Verilog HDL 9 i n t e g e r [03] R i p p l e; 10 m e m A. d a t a 32 6 4 11