設計目標規格書

Size: px
Start display at page:

Download "設計目標規格書"

Transcription

1 ARM Cortex -M0 32- 位微控制器 NuMicro Family NUC140 产品简介 The information described in this document is the exclusive intellectual property of Nuvoton Technology Corporation and shall not be reproduced without permission from Nuvoton. Nuvoton is providing this document only for reference purposes of NuMicro microcontroller based system design. Nuvoton assumes no responsibility for errors or omissions. All data and specifications are subject to change without notice. For additional information or questions, please contact: Nuvoton Technology Corporation 版本 V3.01

2 Contents 1 概述 特性 NuMicro NUC140 特征 Connectivity Line 编号信息列表及管脚名称定义 NuMicro NUC140 产品选型指南 NuMicro NUC140 Connectivity Line 选型指南 管脚配置 NuMicro NUC140 管脚图 管脚功能描述 NuMicro NUC140 管脚定义 框图 NuMicro NUC140 框图 NuMicro NUC140 框图 电气特性 绝对最大额定值 DC 电气特性 NuMicro NUC130/NUC140 DC 电气特性 AC 电气特性 外部 4~24 MHz 高速振荡器 外部 4~24 MHz 高速晶振 外部 khz 低速晶振 内部 MHz 高速振荡器 内部 10 khz 低速振荡器 模拟量特性 位 SARADC 规格 LDO 规格和电源管理 低压复位说明 欠压检测说明 上电复位说明 (5 V) 温度传感器说明 比较器说明 USB PHY 说明 SPI 动态特性 封装定义 L (14x14x1.4 mm footprint 2.0mm) L (10x10x1.4mm footprint 2.0 mm) L (7x7x1.4mm footprint 2.0mm) 版本历史 版本 V3.01

3 图 图 3-1 NuMicro NUC100 系列选型码 图 3-2 NuMicro NUC pin 管脚图 图 3-3 NuMicro NUC pin 管脚图 图 3-4 NuMicro NUC pin 管脚图 图 4-1 NuMicro NUC140 框图 图 5-1 典型晶振应用电路 图 5-2 SPI 主机动态特性时序图 图 5-3 SPI 从机动态特性时序图 版本 V3.01

4 表 表 1-1 所支持的接口列表 版本 V3.01

5 1 概述 NuMicro NUC100 系列是 32 位的内嵌 ARM Cortex -M0 核的微控制器, 适用于工业控制和需要丰富的通信接口的应用领域,Cortex -M0 是 ARM 最新的 32 位嵌入式处理器, 拥有与传统 8051 单片机之匹敌的价格优势 NuMicro NUC100 系列包括 NUC100, NUC120, NUC130 和 NUC140 NuMicro NUC140 Connectivity Line 带全速 USB 2.0 和 CAN 功能, 内嵌 Cortex -M0 内核, 最高可运行至 50 MHz, 内建 32K/64K/128K 字节的 Flash 存储器, 以及 4K/8K/16K 字节 SRAM,4K 字节用于存储 ISP 引导代码的 ROM, 和 4K 字节的数据 Flash 存储器 另外还有丰富的外设, 如定时器, 看门狗定时器,RTC,PDMA,UART,SPI, I 2 C,I 2 S,PWM 定时器,GPIO,LIN,CAN,PS/2, USB 2.0 FS 设备,12 位 ADC, 模拟比较器, 低电压复位控制和欠压检测功能 Product Line UART SPI I 2 C USB LIN CAN PS/2 I 2 S NUC100 NUC120 NUC130 NUC140 表 1-1 所支持的接口列表 版本 V3.01

6 2 特性 该器件的功能依赖于产品线和他们的子系统产品 2.1 NuMicro NUC140 特征 Connectivity Line 内核 ARM Cortex -M0 内核最高运行 50 MHz 一个 24- 位系统定时器 支持低功耗睡眠模式 单周期 32 位硬件乘法器 嵌套向量中断控制器 (NVIC) 用于控制 32 个中断源, 每个中断源可设置为 4 个优先级 支持串行线调试 (SWD) 带 2 个观察点 /4 个断点 内建 LDO, 宽电压工作范围 2.5 V 到 5.5 V Flash 存储器 32K/64K/128K 字节 Flash 用于存储程序代码 4KB flash 用于存储 ISP 引导代码 支持在系统编程 (ISP) 方式更新应用程序 支持 512 字节单页擦除 在 128K 字节系统中可配置数据 FLASH 地址和大小, 在 32K 字节和 64K 字节系统中固定为 4K 字节数据 通过 SWD/ICE 接口, 支持 2 线 ICP 升级方式 支持外部编程器并行高速编程模式 SRAM 存储器 4K/8K/16K 字节内建 SRAM 支持 PDMA 模式 PDMA (Peripheral DMA) 支持 9 通道 PDMA 用于 SRAM 和周边设备的自动数据传输 时钟控制 针对不同应用可灵活选择时钟 内部 MHz 高速振荡器可用于系统运行 在 +25,VDD = 5.0 V 时, 精度校正到 ± 1 % 在 -40 ~ +85 和 VDD = 2.5 V ~ 5.5 V 范围内, 精度为 ± 3 % 内部低功耗 10 KHz 低速振荡器用于看门狗及掉电模式唤醒等功能 支持一组 PLL, 高至 50 MHz, 用于高性能的系统运行 外部 4~24 MHz 晶振输入用于 USB 和精准的定时操作 外部 khz 晶振输入用于 RTC 及低功耗模式操作 GPIO 四种 I/O 模式 : 准双向模式 推挽输出模式 开漏输出模式 高阻输入模式 TTL/Schmitt 触发输入可选 版本 V3.01

7 I/O 引脚可被配置为边沿 / 电平触发模式的中断源 支持大电流驱动和灌入 I/O 模式 Timer 支持 4 组 32 位定时器, 每个定时器有一个 24 位向上计数定时器和一个 8 位预分频计数器 每个定时器有独立的时钟源 提供 one-shot, periodic, toggle and continuous 计数操作模式 支持事件计数功能 支持输入捕捉功能 Watchdog Timer 多路时钟源 从 1.6ms 到 26.0sec 有 8 个可选的定时溢出周期 ( 取决于所选的时钟源 ) WDT 可用作掉电模式 / 睡眠模式的唤醒 看门狗定时溢出的中断 / 复位选择 RTC 通过频率补偿寄存器 (FCR) 支持软件频率补偿功能 支持 RTC 计数 ( 秒, 分, 小时 ) 及万年历功能 ( 日, 月, 年 ) 支持闹铃寄存器 ( 秒, 分, 小时, 日, 月, 年 ) 可选择为 12 小时制或 24 小时 闰年自动识别 支持周期时间滴答中断, 包括 8 个可选周期 1/128, 1/64, 1/32, 1/16, 1/8, 1/4, 1/2 和 1 秒 支持唤醒功能 PWM/Capture 内建四个 16 位 PWM 产生器, 可输出 8 路 PWM 或 4 组互补配对 PWM 每个 PWM 产生器配有一个时钟源选择器, 一个时钟分频器, 一个 8 位时钟预分频和一个用于互补配对 PWM 的死区发生器 8 路 16 位捕捉定时器 ( 共享 PWM 定时器 ) 提供 8 路输入的上升 / 下降沿的捕捉功能 支持捕捉 (Capture) 中断 UART SPI 最多三组 UART 控制器 支持流控 (TXD, RXD, CTS 和 RTS) UART0 带 64- 字节 FIFO 用于高速模式 UART1/2 ( 可选 ) 带 16- 字节 FIFO 用于标准模式 支持 IrDA (SIR) 和 LIN 功能 支持 RS 位模式和方向控制 可编程波特率发生器频率高至 1/16 系统时钟 支持 PDMA 模式 最高支持 4 组 SPI 控制器 主机速率高至 32 MHz, 从机高至 10 MHz ( 芯片工作在 5V 状态时 ) 支持 SPI 主机 / 从机模式 全双工同步串行数据传输 可变数据长度 ( 从 1 位到 32 位 ) 传输模式 可设置 MSB 或 LSB 在前的传输模式 在时钟上升沿或下降沿接收还是发送是独立配置的 当作为主机时 2 条从机片选线, 作为从机时 1 条从机片选线 支持 32-bit 传输模式下的字节睡眠模式 版本 V3.01

8 I 2 C I 2 S 支持 PDMA 模式 支持三线无从机选择信号的双向接口 最多支持 2 组 I 2 C 设备 主机 / 从机模式 主从机之间双向数据传输 多主机总线支持 ( 无中心主机 ) 多主机间同时传输数据仲裁, 避免总线上串行数据损坏 总线采用串行同步时钟, 可实现设备之间以不同的速率传输 串行同步时钟可作为握手方式控制总线上数据暂停及恢复传送 可编程的时钟适用于不同速率控制 I 2 C 总线上支持多地址识别 (4 个从机地址带 mask 选项 ) 外部音频 CODEC 接口 可作主机也可作从机模式 能处理 8, 16,24 和 32 位 word 支持单声道和立体声的音频数据 支持 I 2 S 和最高有效位数据格式 提供两组 8 字的 FIFO 数据缓存, 一组用于发送, 一组用于接收 缓冲区超过可编程边界时, 产生中断请求 支持两组 DMA 请求, 一组用于发送, 另一组用于接收 CAN 2.0 支持 CAN 2.0A 和 2.0B 协议 位传输速率最高至 1M bit/s 32 个报文对象 每个报文对象有其自己的标识符掩码 可编程的 FIFO 模式 ( 链接报文对象 ) 可屏蔽中断 时间触发的 CAN 应用中禁用自动重传模式 支持掉电模式唤醒功能 PS/2 设备控制器 禁止 Host 通信和请求发送检测 接收帧错误检测 可编程的 1 到 16 字节的发送缓冲以减少 CPU 的负担 数据据接收的双缓冲 软件可控总线 USB 2.0 Full-Speed Device 一组 12Mbps 的 USB 2.0 FS 设备 片内集成 USB 收发模块 提供 1 组中断源, 提供四个中断事件 支持控制传输 (Control), 批量传输 (Bulk In/Out), 中断传输 (Interrupt) 及同步传输 当总线上无信号达到 3ms 时, 具有自动暂停的功能 支持 6 组可编程端点 (endpoints) 512 字节内部 SRAM 作为 USB 的缓存区 支持远程唤醒功能 支持 EBI( 外部总线接口 )(100-pin and 64-pin Package Only) 版本 V3.01

9 可访问的空间 : 8 位模式为 64KB 或 16 位模式为 128KB 支持 8- 位 /16- 位数据宽度 在 16 位数据宽度模式下支持字节写入 ADC 12 位 ADC, 转换速率达 700K SPS 最多 8 通道单端模式输入或 4 通道差分模式输入 单一扫描模式 / 单周期扫描模式 / 连续扫描模式 每个通道有独立的结果寄存器 扫描使能通道 阈电压侦测 软件编程或外部管脚触发开始转换 支持 PDMA 模式 模拟比较器 (Analog Comparator) 2 组模拟比较器模块 负端电位可选择外部输入或内部频带间隙电压 比较结果改变可作为中断触发条件 支持掉电模式唤醒功能 内建温度传感器, 1 分辨率 欠压检测 (Brown-Out detector) 支持四级检测电压 :4.5 V/3.8 V/2.7 V/2.2 V 支持欠压中断和复位选择 低压复位 阈电压 :2.0 V 工作温度 :-40 ~85 封装 : 无铅封装 (RoHS) 100-pin / 64-pin / 48-pin 版本 V3.01

10 3 编号信息列表及管脚名称定义 3.1 NuMicro NUC140 产品选型指南 NuMicro NUC140 Connectivity Line 选型指南 编号 APROM RAM Data Flash ISP Loader ROM I/O Timer 各总线界面 UART SPI I 2 C USB LIN CAN I 2 S Comp. PWM ADC RTC EBI ISP ICP 封装 NUC140LC1CN 32 KB 4 KB 4 KB 4 KB up to 31 4x32-bit x12-bit v - v 48 NUC140LD2CN 64 KB 8 KB 4 KB 4 KB up to 31 4x32-bit x12-bit v - v 48 NUC140LE3CN 128 KB 16 KB Definable 4 KB up to 31 4x32-bit x12-bit v - v 48 NUC140RC1CN 32 KB 4 KB 4 KB 4 KB up to 45 4x32-bit x12-bit v v v 64 NUC140RD2CN 64 KB 8 KB 4 KB 4 KB up to 45 4x32-bit x12-bit v v v 64 NUC140RE3CN 128 KB 16 KB Definable 4 KB up to 45 4x32-bit x12-bit v v v 64 NUC140VE3CN 128 KB 16 KB Definable 4 KB up to 76 4x32-bit x12-bit v v v 100 NUC X X X X X ARM-Based 32-bit Microcontroller CPU core 1: Cortex-M0 5/7: ARM7 9: ARM9 Function 0: Advance Line 2: USB Line 3: Automotive Line 4: Connectivity Line Package Type Y: QFN 36 L: 48 R: 64 V: 100 Temperature N: -40 ~ +85 E: -40 ~ +105 C: -40 ~ +125 Reserve RAM Size 1: 4K 2: 8K 3: 16K APROM Size A: 8K B: 16K C: 32K D: 64K E: 128K 图 3-1 NuMicro NUC100 系列选型码 版本 V3.01

11 3.2 管脚配置 NuMicro NUC140 管脚图 NuMicro NUC pin AD8/ADC5/PA.5 AD7/ADC6/PA.6 AD6/ADC7/SPISS21/PA.7 MOSI21/PD.5 AD5/CPN0/PC.7 AD4/CPP0/PC.6 AD3/CPN1/PC.15 AD2/CPP1/PC.14 T0EX/INT1/PB.15 XT1_OUT XT1_IN /RESET STADC/TM0/PB.8 PE.13 SPISS31/INT0/PB.14 AD1/CPO1/PB.13 AD0/CLKO/CPO0/ PB.12 X32O X32I nrd/i2c1scl/pa.11 nwr/i2c1sda/pa.10 I2C0SCL/PA.9 I2C0SDA/PA.8 RXD1/PB.4 TXD1/PB.5 ALE/RTS1/PB.6 ncs/cts1/pb.7 LDO VDD VSS PA.4/ADC4/AD9 PA.3/ADC3/AD10 PA.2/ADC2/AD11 PA.1/ADC1/AD12 PA.0/ADC0 AVSS ICE_CK ICE_DAT PA.12/PWM0/AD13 PA.13/PWM1/AD14 PA.14/PWM2/AD15 PA.15/PWM3/I2SMCLK PC.8/SPISS10 PC.9/SPICLK1 AVDD PC.0/SPISS00/I2SLRCLK PC.1/SPICLK0/I2SBCLK PC.2/MISO00/I2SDI PC.3/MOSI00/I2SDO PC.5/MOSI01 PD.15/TXD2 PD.14/RXD2 PD.7/CANTX PD.6/CANRX PB.3/CTS0/nWRH/T3EX PB.2/RTS0/nWRL/T2EX PB.1/TXD PB.0/RXD0 VSS D+ VDD D VDD VBUS PVSS PC.10/MISO10 PC.11/MOSI10 50 PB.9/SPISS11/TM1 49 PB.10/SPISS01/TM2 48 PB.11/TM3/PWM4 47 PE.5/PWM5 46 PE PC.4/MISO01 NUC pin PE.7 PE.8 PE.15 PE.14 SPISS30/PD.8 SPICLK3/PD.9 MISO30/PD.10 MOSI30/PD.11 MISO31/PD.12 MOSI31/PD VSS VDD PC.12/MISO11 PC.13/MOSI11 PE.0/PWM6 PE.1/PWM7 PE.2 PE.3 PE Vref SPISS20/PD.0 81 SPICLK2/PD.1 82 MISO20/PD.2 83 MOSI20/PD.3 84 MISO21/PD.4 PS2DAT PS2CLK 图 3-2 NuMicro NUC pin 管脚图 版本 V3.01

12 NuMicro NUC pin AD8/ADC5/PA.5 AD7/ADC6/PA.6 AD6/ADC7PA.7 AD5/CPN0/PC.7 AD4/CPP0/PC.6 AD3/CPN1/PC.15 AD2/CPP1/PC.14 T0EX/INT1/PB.15 XT1_OUT XT1_IN /RESET STADC/TM0/PB.8 SPISS31/INT0/PB.14 AD1/CPO1/PB.13 AD0/CLKO/CPO0/PB.12 X32O X32I nrd/i2c1scl/pa.11 nwr/i2c1sda/pa.10 I2C0SCL/PA.9 I2C0SDA/PA.8 RXD1/PB.4 TXD1/PB.5 ALE/RTS1/PB.6 ncs/cts1/pb.7 LDO VDD VSS PA.4/ADC4/AD9 PA.3/ADC3/AD10 PA.2/ADC2/AD11 PA.1/ADC1/AD12 PA.0/ADC0 AVSS ICE_CK ICE_DAT PA.12/PWM0/AD13 PA.13/PWM1/AD14 PA.14/PWM2/AD15 PA.15/PWM3/I2SMCLK PC.8/SPISS10 PC.9/SPICLK PC.0/SPISS00/I2SLRCLK PC.1/SPICLK0/I2SBCLK PC.2/MISO00/I2SDI AVDD PC.3/MOSI00/I2SDO PD.15/TXD PD.14/RXD PD.7/CANTX0 PD.6/CANRX0 PB.3/CTS0/nWRH/T3EX PB.2/RTS0/nWRL/T2EX PB.1/TXD PB.0/RXD0 VSS D+ VDD D- PVSS VDD VBUS PC.10/MISO10 PC.11/MOSI10 NUC pin 图 3-3 NuMicro NUC pin 管脚图 版本 V3.01

13 NuMicro NUC pin CLKO/CPO0/PB.12 X32O X32I I2C1SCL/PA.11 I2C1SDA/PA.10 I2C0SCL/PA.9 I2C0SDA/PA.8 RXD1/PB.4 TXD1/PB.5 LDO VDD VSS PA.4/ADC4 PA.3/ADC3 PA.2/ADC2 PA.1/ADC1 PA.0/ADC0 AVSS ICE_CK ICE_DAT PA.12/PWM0 PA.13/PWM1 PA.14/PWM2 PA.15/PWM3/I2SMCLK 图 3-4 NuMicro NUC pin 管脚图 版本 V3.01

14 3.3 管脚功能描述 NuMicro NUC140 管脚定义 NuMicro NUC140 管脚定义 管脚号 管脚名称管脚类型描述 1 PE.15 I/O 通用数字输入 / 输出管脚 2 PE.14 I/O 通用数字输入 / 输出管脚 3 PE.13 I/O 通用数字输入 / 输出管脚 PB.14 I/O 通用数字输入 / 输出管脚 4 1 /INT0 I /INT0: 外部中断 1 输入管脚 SPISS31 I/O SPISS31: SPI3 2 nd 从机选择管脚 PB.13 I/O 通用数字输入 / 输出管脚 5 2 CPO1 O Comparator1 输出管脚 AD1 IO EBI 地址 / 数据总线 bit1 PB.12 I/O 通用数字输入 / 输出管脚 CPO0 O Comparator0 输出管脚 CLKO O 分频器输出管脚 AD0 I/O EBI 地址 / 数据总线 bit X32O O 外部 khz 晶振输出管脚 X32I I 外部 khz 晶振输入管脚 PA.11 I/O 通用数字输入 / 输出管脚 I2C1SCL I/O I2C1SCL: I 2 C1 时钟管脚 nrd O EBI 读使能输出管脚 PA.10 I/O 通用数字输入 / 输出管脚 I2C1SDA I/O I2C1SDA: I 2 C1 数据输入 / 输出管脚 nwr O EBI 写使能输出管脚 PA.9 I/O 通用数字输入 / 输出管脚 I2C0SCL I/O I2C0SCL: I 2 C0 时钟管脚 PA.8 I/O 通用数字输入 / 输出管脚 版本 V3.01

15 管脚号 管脚名称管脚类型描述 I2C0SDA I/O I2C0SDA: I 2 C0 数据输入 / 输出管脚 13 PD.8 I/O 通用数字输入 / 输出管脚 SPISS30 I/O SPISS30: SPI3 从机选择管脚 14 PD.9 I/O 通用数字输入 / 输出管脚 SPICLK3 I/O SPICLK3: SPI3 串行时钟管脚 15 PD.10 I/O 通用数字输入 / 输出管脚 MISO30 I/O MISO30: SPI3 MISO ( 主机输入, 从机输出 ) 脚 16 PD.11 I/O 通用数字输入 / 输出管脚 MOSI30 I/O MOSI30: SPI3 MOSI ( 主机输出, 从机输入 ) 脚 17 PD.12 I/O 通用数字输入 / 输出管脚 MISO31 I/O MISO31: SPI3 2 nd MISO ( 主机输入, 从机输出 ) 脚 18 PD.13 I/O 通用数字输入 / 输出管脚 MOSI31 I/O MOSI31: SPI3 2 nd MOSI ( 主机输出, 从机输入 ) 脚 PB.4 I/O 通用数字输入 / 输出管脚 RXD1 I RXD1: UART1 数据接收输入管脚 PB.5 I/O 通用数字输入 / 输出管脚 TXD1 O TXD1: UART1 数据发送输出管脚 PB.6 I/O 通用数字输入 / 输出管脚 RTS1 O RTS1: UART1 请求发送输出管脚 ALE O EBI 地址锁存使能输出管脚 PB.7 I/O 通用数字输入 / 输出管脚 CTS1 I CTS1: UART1 清发送输入管脚 ncs O EBI 片选使能输出管脚 LDO P LDO 输出管脚 VDD P 电源供应管脚, 为 IO 端口 内部 PLL 电路 LDO 源和数字功能提供电源 VSS P 地 26 PE.8 I/O 通用数字输入 / 输出管脚 27 PE.7 I/O 通用数字输入 / 输出管脚 版本 V3.01

16 管脚号 管脚名称管脚类型描述 VBUS USB USB HOST 或 HUB 提供电源管脚 VDD33 USB 内部 3.3V 电压输出管脚 D- USB USB 差分信号 D D+ USB USB 差分信号 D PB.0 I/O 通用数字输入 / 输出管脚 RXD0 I RXD0: UART0 数据接收输入管脚 PB.1 I/O 通用数字输入 / 输出管脚 TXD0 O TXD0: UART0 数据发送输出管脚 PB.2 I/O 通用数字输入 / 输出管脚 RTS0 O RTS0: UART0 请求发送输出管脚 nwrl O EBI 低字节写使能输出管脚 T2EX I Timer2 外部捕捉输入管脚 PB.3 I/O 通用数字输入 / 输出管脚 CTS0 I CTS0: UART0 清发送输入管脚 nwrh O EBI 高字节写使能输出管脚 T3EX I Timer3 外部捕捉输入管脚 PD.6 I/O 通用数字输入 / 输出管脚 CANRX0 I CAN Bus0 RX 输入 PD.7 I/O 通用数字输入 / 输出管脚 CANTX0 O CAN Bus0 TX 输出 PD.14 I/O 通用数字输入 / 输出管脚 RXD2 I RXD2: UART2 数据接收输入管脚 PD.15 I/O 通用数字输入 / 输出管脚 TXD2 O TXD2: UART2 数据发送输出管脚 PC.5 I/O 通用数字输入 / 输出管脚 MOSI01 I/O MOSI01: SPI0 2 nd MOSI ( 主机输出, 从机输入 ) 脚 PC.4 I/O 通用数字输入 / 输出管脚 MISO01 I/O MISO01: SPI0 2 nd MISO ( 主机输入, 从机输出 ) 脚 版本 V3.01

17 管脚号 管脚名称管脚类型描述 PC.3 I/O 通用数字输入 / 输出管脚 MOSI00 I/O MOSI00: SPI0 MOSI ( 主机输出, 从机输入 ) 脚 I2SDO O I2SDO: I 2 S 数据输出 PC.2 I/O 通用数字输入 / 输出管脚 MISO00 I/O MISO00: SPI0 MISO ( 主机输入, 从机输出 ) 脚 I2SDI I I2SDI: I 2 S 数据输入 PC.1 I/O 通用数字输入 / 输出管脚 SPICLK0 I/O SPICLK0: SPI0 串行时钟管脚 I2SBCLK I/O I2SBCLK: I 2 S bit 时钟管脚 PC.0 I/O 通用数字输入 / 输出管脚 SPISS00 I/O SPISS00: SPI0 从机选择管脚 I2SLRCL K I/O I2SLRCLK: I 2 S 左右声道时钟 46 PE.6 I/O 通用数字输入 / 输出管脚 PE.5 I/O 通用数字输入 / 输出管脚 47 PWM5 I/O PWM5: PWM 输出 /Capture 输入 T1EX I Timer1 外部捕捉输入管脚 PB.11 I/O 通用数字输入 / 输出管脚 48 TM3 I/O TM3: Timer3 事件计数输入 / 切换输出 PWM4 I/O PWM4: PWM 输出 /Capture 输入 PB.10 I/O 通用数字输入 / 输出管脚 49 TM2 I/O TM2: Timer2 事件计数输入 / 切换输出 SPISS01 I/O SPISS01: SPI0 2 nd 从机选择管脚 PB.9 I/O 通用数字输入 / 输出管脚 50 TM1 I/O TM1: Timer1 事件计数输入 / 切换输出 SPISS11 I/O SPISS11: SPI1 2 nd 从机选择管脚 51 PE.4 I/O 通用数字输入 / 输出管脚 52 PE.3 I/O 通用数字输入 / 输出管脚 53 PE.2 I/O 通用数字输入 / 输出管脚 版本 V3.01

18 管脚号 管脚名称管脚类型描述 54 PE.1 I/O 通用数字输入 / 输出管脚 PWM7 I/O PWM7: PWM 输出 /Capture 输入 55 PE.0 I/O 通用数字输入 / 输出管脚 PWM6 I/O PWM6: PWM 输出 /Capture 输入 56 PC.13 I/O 通用数字输入 / 输出管脚 MOSI11 I/O MOSI11: SPI1 2 nd MOSI ( 主机输出, 从机输入 ) 脚 57 PC.12 I/O 通用数字输入 / 输出管脚 MISO11 I/O MISO11: SPI1 2 nd MISO ( 主机输入, 从机输出 ) 脚 PC.11 I/O 通用数字输入 / 输出管脚 MOSI10 I/O MOSI10: SPI1 MOSI ( 主机输出, 从机输入 ) 脚 PC.10 I/O 通用数字输入 / 输出管脚 MISO10 I/O MISO10: SPI1 MISO ( 主机输入, 从机输出 ) 脚 PC.9 I/O 通用数字输入 / 输出管脚 SPICLK1 I/O SPICLK1: SPI1 串行时钟管脚 PC.8 I/O 通用数字输入 / 输出管脚 SPISS10 I/O SPISS10: SPI1 从机选择管脚 MCLK O EBI 时钟输出 PA.15 I/O 通用数字输入 / 输出管脚 PWM3 I/O PWM3: PWM 输出 /Capture 输入 I2SMCLK O I2SMCLK: I 2 S 主机时钟输出管脚 PA.14 I/O 通用数字输入 / 输出管脚 PWM2 I/O PWM2: PWM 输出 /Capture 输入 AD15 I/O EBI 地址 / 数据总线 bit15 PA.13 I/O 通用数字输入 / 输出管脚 PWM1 I/O PWM1: PWM 输出 /Capture 输入 AD14 I/O EBI 地址 / 数据总线 bit PA.12 I/O 通用数字输入 / 输出管脚 PWM0 I/O PWM0: PWM 输出 /Capture 输入 版本 V3.01

19 管脚号 管脚名称管脚类型描述 AD13 I/O EBI 地址 / 数据总线 bit ICE_DAT I/O 调试器的串行数据管脚 ICE_CK I 调试器的串行时钟管脚 68 VDD P 电源供应管脚, 为 IO 端口 内部 PLL 电路 LDO 源和数字功能提供电源 69 VSS P 地 AVSS AP 模拟电路地 PA.0 I/O 通用数字输入 / 输出管脚 ADC0 AI ADC0: ADC 模拟输入 PA.1 I/O 通用数字输入 / 输出管脚 ADC1 AI ADC1: ADC 模拟输入 AD12 I/O EBI 地址 / 数据总线 bit12 PA.2 I/O 通用数字输入 / 输出管脚 ADC2 AI ADC2: ADC 模拟输入 AD11 I/O EBI 地址 / 数据总线 bit11 PA.3 I/O 通用数字输入 / 输出管脚 ADC3 AI ADC3: ADC 模拟输入 AD10 I/O EBI 地址 / 数据总线 bit10 PA.4 I/O 通用数字输入 / 输出管脚 ADC4 AI ADC4: ADC 模拟输入 AD9 I/O EBI 地址 / 数据总线 bit9 PA.5 I/O 通用数字输入 / 输出管脚 ADC5 AI ADC5: ADC 模拟输入 AD8 I/O EBI 地址 / 数据总线 bit8 PA.6 I/O 通用数字输入 / 输出管脚 ADC6 AI ADC6: ADC 模拟输入 AD7 I/O EBI 地址 / 数据总线 bit PA.7 I/O 通用数字输入 / 输出管脚 ADC7 AI ADC7: ADC 模拟输入 版本 V3.01

20 管脚号 管脚名称管脚类型描述 SPISS21 I/O SPISS21: SPI2 2 nd 从机选择管脚 AD6 I/O EBI 地址 / 数据总线 bit6 79 VREF AP ADC 参考电压输入 AVDD AP 内部模拟电路电源 PD.0 I/O 通用数字输入 / 输出管脚 SPISS20 I/O SPISS20: SPI2 从机选择管脚 PD.1 I/O 通用数字输入 / 输出管脚 SPICLK2 I/O SPICLK2: SPI2 串行时钟管脚 PD.2 I/O 通用数字输入 / 输出管脚 MISO20 I/O MISO20: SPI2 MISO ( 主机输入, 从机输出 ) 脚 PD.3 I/O 通用数字输入 / 输出管脚 MOSI20 I/O MOSI20: SPI2 MOSI ( 主机输出, 从机输入 ) 脚 PD.4 I/O 通用数字输入 / 输出管脚 MISO21 I/O MISO21: SPI2 2 nd MISO ( 主机输入, 从机输出 ) 脚 PD.5 I/O 通用数字输入 / 输出管脚 MOSI21 I/O MOSI21: SPI2 2 nd MOSI ( 主机输出, 从机输入 ) 脚 PC.7 I/O 通用数字输入 / 输出管脚 CPN0 AI CPN0: Comparator0 负端输入管脚 AD5 I/O EBI 地址 / 数据总线 bit 5 PC.6 I/O 通用数字输入 / 输出管脚 CPP0 AI CPP0: Comparator0 正端输入管脚 AD4 I/O EBI 地址 / 数据总线 bit 4 PC.15 I/O 通用数字输入 / 输出管脚 CPN1 AI CPN1: Comparator1 负端输入管脚 AD3 I/O EBI 地址 / 数据总线 bit 3 PC.14 I/O 通用数字输入 / 输出管脚 CPP1 AI CPP1: Comparator1 正端输入管脚 AD2 I/O EBI 地址 / 数据总线 bit 版本 V3.01

21 管脚号 管脚名称管脚类型描述 PB.15 I/O 通用数字输入 / 输出管脚 /INT1 I /INT1: 外部中断 0 输入管脚 T0EX I Timer 0 外部捕捉输入管脚 XT1_OUT O 外部 4~24 MHz 晶振输出管脚 XT1_IN I 外部 4~24 MHz 晶振输出管脚 /RESET I 外部复位输入 : 低有效, 置低复位 MCU 为初始状态, 带内部上拉 VSS P 地 VDD P 电源供应管脚, 为 IO 端口 内部 PLL 电路 LDO 源和数字功能提供电源 97 PS2DAT I/O PS/2 数据管脚 98 PS2CLK I/O PS/2 时钟管脚 PVSS P PLL 地 PB.8 I/O 通用数字输入 / 输出管脚 STADC I STADC: ADC 外部触发输入 TM0 I/O TM0: Timer0 事件计数输入 / 切换输出 注 : 管脚类型 I = 数字输入 (Digital Input), O = 数字输出 (Digital Output); AI= 模拟输入 (Analog Input); P= 电源管脚 (Power Pin); AP= 模拟电源 (Analog Power) 版本 V3.01

22 4 框图 4.1 NuMicro NUC140 框图 NuMicro NUC140 框图 FLASH 128KB ISP 4KB SRAM 16KB Cortex-M0 50MHz GPIO A,B,C,D,E PDMA CLK_CTL P L L 10 khz khz MHz 4~24 MHz PS2 RTC LDO 2.5V~ 5.5V SPI 2/3 WDT SPI 0/1 12-bit ADC I2C 1 Timer 0/1/ UART 0-3M Analog Comparator UART 1-115K UART 2-115K Timer 2/3 PWM 4~7 CAN 0 PWM 0~3 POR Brown-out LVR I2S I2C 0 USB-FS 512BRAM USBPHY Peripherals with PDMA 图 4-1 NuMicro NUC140 框图 版本 V3.01

23 5 电气特性 5.1 绝对最大额定值 参数 符号 最小值 最大值 单位 直流电源电压 VDD VSS V 输入电压 VIN VSS-0.3 VDD+0.3 V 振荡器频率 1/t CLCL 4 24 MHz 工作温度 TA C 贮存温度 TST C VDD 最大流入电流 ma VSS 最大流出电流 120 ma 单一 I/O 管脚最大灌电流 35 ma 单一 I/O 管脚最大拉电流 35 ma 所有 I/O 管脚最大灌电流总和 100 ma 所有 I/O 管脚最大拉电流总和 100 ma 注 : 上表所列的条件中, 其极限值可能对器件的提升和稳定有反作用 版本 V3.01

24 5.2 DC 电气特性 NuMicro NUC130/NUC140 DC 电气特性 (VDD-VSS=3.3 V, TA = 25 C, FOSC = 50 MHz 除非其他特别说明 ) 参数 符号 规格 最小值典型值最大值单位 测试条件 工作电压 V DD V V DD =2.5 V ~ 5.5 V up to 50 MHz 电源地 V SS AV SS -0.3 V LDO 输出电压 V LDO -10% % V V DD > 2.7 V 模拟工作电压 AV DD 0 V DD V 模拟参考电压 Vref 0 AV DD V 50 MHz 12 MHz I DD1 51 ma I DD2 25 ma I DD3 48 ma I DD4 23 ma I DD5 19 ma I DD6 7 ma I DD7 17 ma V DD = 5.5 V@50 MHz, enable all IP and PLL, XTAL=12 MHz V DD = 5.5 V@50 MHz, disable all IP and enable PLL, XTAL=12 MHz V DD = 3 V@50 MHz, enable all IP and PLL, XTAL=12 MHz V DD = 3 V@50 MHz, disable all IP and enable PLL, XTAL=12 MHz V DD = 5.5 V@12 MHz, enable all IP and disable PLL, XTAL=12 MHz V DD = 5.5 V@12 MHz, disable all IP and disable PLL, XTAL=12 MHz V DD = 3 V@12 MHz, enable all IP and disable PLL, XTAL=12 MHz 版本 V3.01

25 参数 符号 规格 最小值典型值最大值单位 测试条件 4 MHz 50 MHz 12 MHz I DD8 6 ma I DD9 11 ma I DD10 3 ma I DD11 10 ma I DD ma I IDLE1 35 ma I IDLE2 15 ma I IDLE3 33 ma I IDLE4 13 ma I IDLE5 10 ma I IDLE6 4.5 ma I IDLE7 9 ma I IDLE8 3.5 ma V DD = 3 V@12 MHz, disable all IP and disable PLL, XTAL=12 MHz V DD = 5 V@4 MHz, enable all IP and disable PLL, XTAL=4 MHz V DD = 5 V@4 MHz, disable all IP and disable PLL, XTAL=4 MHz V DD = 3 V@4 MHz, enable all IP and disable PLL, XTAL=4 MHz V DD = 3 V@4 MHz, disable all IP and disable PLL, XTAL=4 MHz V DD = 5.5 V@50 MHz, enable all IP and PLL, XTAL=12 MHz V DD =5.5 V@50 MHz, disable all IP and enable PLL, XTAL=12 MHz V DD = 3 V@50 MHz, enable all IP and PLL, XTAL=12 MHz V DD = 3 V@50 MHz, disable all IP and enable PLL, XTAL=12 MHz V DD = 5.5 V@12 MHz, enable all IP and disable PLL, XTAL=12 MHz V DD = 5.5 V@12 MHz, disable all IP and disable PLL, XTAL=12 MHz V DD = 3 V@12 MHz, enable all IP and disable PLL, XTAL=12 MHz V DD = 3 V@12 MHz, disable all IP and disable PLL, XTAL=12 MHz 版本 V3.01

26 参数 符号 规格 最小值典型值最大值单位 测试条件 4 MHz 掉电模式下的工作电流 PA, PB, PC, PD, PE 输入电流 ( 准双向模式 ) I IDLE9 4 ma I IDLE ma I IDLE ma I IDLE ma I PWD1 12 μa I PWD2 9 μa I PWD3 μa I PWD4 μa I IN μa V DD = 5 V@4 MHz, enable all IP and disable PLL, XTAL=4 MHz V DD = 5 V@4 MHz, disable all IP and disable PLL, XTAL=4 MHz V DD = 3 V@4 MHz, enable all IP and disable PLL, XTAL=4 MHz V DD = 3 V@4 MHz, disable all IP and disable PLL, XTAL=4 MHz V DD = 5.5 V, RTC OFF, No Disable BOV function V DD = 3.3 V, RTC OFF, No Disable BOV function V DD = 5.5 V, RTC run, No Disable BOV function V DD = 3.3 V, RTC run, No Disable BOV function V DD = 5.5 V, V IN = 0 V or V IN =V DD /RESET [1] 管脚输入电流 I IN μa V DD = 3.3 V, V IN = 0.45 V PA, PB, PC, PD, PE 输入漏电流 I LK μa V DD = 5.5 V, 0<V IN <V DD PA~PE 逻辑 1 至 0 转换时的电流 ( 准双向模式 ) I TL [3] μa V DD = 5.5 V, V IN <2.0 V PA, PB, PC, PD, PE 输入低电压 (TTL 输入 ) PA, PB, PC, PD, PE 输入高电压 (TTL 输入 ) PA, PB, PC, PD, PE 输入低电压 (Schmitt 输入 ) PA, PB, PC, PD, PE 输入高电压 (Schmitt 输入 ) V DD = 4.5 V V V IL V DD = 2.5 V V DD +0.2 V DD = 5.5 V V V IH V DD +0.2 V DD =3.0 V V IL V DD V V IH2 0.6 V DD - V DD +0.5 V 版本 V3.01

27 参数 符号 规格 最小值典型值最大值单位 测试条件 PA~PE 迟滞电压 (Schmitt 输入 ) V HY 0.2 V DD V XT1 [*2] XT1 [*2] V DD = 4.5 V 管脚输入低电压 V IL3 V V DD = 3.0 V 管脚输入高电压 V IH V DD +0.2 V V DD = 5.5 V V DD +0.2 V DD = 3.0 V X32I [*2] 管脚输入低电压 V IL v X32I [*2] 管脚输入高电压 V IH V /RESET 管脚负向阈值电压 (Schmitt 输入 ) /RESET 管脚正向阈值电压 (Schmitt 输入 ) PA, PB, PC, PD, PE 拉电流 ( 准双向模式 ) PA, PB, PC, PD, PE 拉电流 ( 推挽模式 ) PA, PB, PC, PD, PE 灌电流 ( 准双向和推挽模式 ) V ILS V DD V V IHS 0.7 V DD - V DD +0.5 V I SR μa V DD = 4.5 V, V S = 2.4 V I SR μa V DD = 2.7 V, V S = 2.2 V I SR μa V DD = 2.5 V, V S = 2.0 V I SR ma V DD = 4.5 V, V S = 2.4 V I SR ma V DD = 2.7 V, V S = 2.2 V I SR ma V DD = 2.5 V, V S = 2.0 V I SK ma V DD = 4.5 V, V S = 0.45 V I SK ma V DD = 2.7 V, V S = 0.45 V I SK ma V DD = 2.5 V, V S = 0.45 V BOV_VL [1:0] =00b 的欠压电压 V BO V BOV_VL [1:0] =01b 的欠压电压 V BO V BOV_VL [1:0] =10b 的欠压电压 V BO V BOV_VL [1:0] =11b 的欠压电压 V BO V BOD 电压的迟滞范围 V BH mv V DD = 2.5 V~5.5 V 带隙电压 V BG V V DD = 2.5 V~5.5 V 注 : 1. /RESET 管脚为 Schmitt 触发输入 2. 晶振输入为 CMOS 输入 3. 当 PA, PB, PC, PD 和 PE 管脚被外部由 1 驱动到 0 时, 可以作为转换电流的源 在 V DD = 5.5 V 条件下, 当 V IN 接近 2 V, 转换电流达到它的最大值 版本 V3.01

28 5.3 AC 电气特性 外部 4~24 MHz 高速振荡器 t CLCL t CLCH t CLCX t CHCL t CHCX 注 : 占空比为 50%. 符号 参数 条件 最小值 典型值最大值 单位 t CHCX 时钟高电平时间 ns t CLCX 时钟低电平时间 ns t CLCH 时钟上升沿时间 ns t CHCL 时钟下降沿时间 ns 外部 4~24 MHz 高速晶振 参数 条件 最小值 典型值最大值 单位 输入时钟频率 外部晶振 MHz 温度 VDD V 典型晶振应用电路 晶振 C1 C2 R 4 MHz ~ 24 MHz 不需要不需要不需要 版本 V3.01

29 图 5-1 典型晶振应用电路 版本 V3.01

30 5.3.3 外部 khz 低速晶振 参数 条件 最小值 典型值最大值 单位 输入时钟频率 外部晶振 khz 温度 VDD V 内部 MHz 高速振荡器 参数条件最小值典型值最大值单位 [1] 工作电压 V 中心频率 MHz +25 C; V DD =5 V % 校验内部振荡器频率 -40 C~+85 C; VDD=2.5 V~5.5 V % 工作电流 V DD =5 V ua 内部 10 khz 低速振荡器 参数条件最小值典型值最大值单位 [1] 工作电压 V 中心频率 khz +25 C; V DD =5 V % 校验内部振荡器频率 -40 C~+85 C; V DD =2.5 V~5.5 V % 注 : 内部工作电压来自 LDO 版本 V3.01

31 5.4 模拟量特性 位 SARADC 规格 符号 参数 最小值 典型值最大值 单位 - 分辨率 Bit DNL 非线性差分误差 - ±3 - LSB INL 非线性积分误差 - ±4 - LSB EO 补偿误差 - ±1 10 LSB EG 增益误差 ( 传输增益 ) 一致性 Guaranteed FADC ADC 时钟频率 MHz TCAL 校准时间 Clock TS 采样时间 Clock TADC 转换时间 Clock FS 采样率 K SPS VLDO V 工作电压 VADD V IDD ma 工作电流 ( 平均 ) IDDA ma VREF 参考电压 - VDDA - V IREFP 参考电流 ( 平均 ) ma VIN 参考电压 0 - VREF V CIN 电容 pf 版本 V3.01

32 5.4.2 LDO 规格和电源管理 参数 最小值. 典型值 最大值 单位 备注 输入电压 V V DD 输入电压 输出电压 -10% % V V DD > 2.7 V 温度 静态电流 (PD=0) 静态电流 (PD=1) ua ua Iload (PD=0) ma Iload (PD=1) ua Cbp uf Resr=1ohm 注 : 1. 建议接一颗 10uF 或更大的电容和一颗 100nF 旁路电容在 VDD 与 VSS 之间 2. 为保证电源稳定, 要在 LDO 与 VSS 之间接一颗 10uF 或更大的电容 版本 V3.01

33 5.4.3 低压复位说明 参数 条件 最小值 典型值 最大值 单位 工作电压 V 静态电流 VDD5V=5.5 V ua 温度 温度 = V 阈值电压 温度 = V 温度 = V 迟滞 V 欠压检测说明 参数 条件 最小值 典型值 最大值 单位 工作电压 V 静态电流 AVDD=5.5 V μa 温度 BOV_VL[1:0]= V 欠压电压 BOV_VL [1:0]= V BOV_VL [1:0]= V BOV_VL [1:0]= V 迟滞 mv 上电复位说明 (5 V) 参数 条件 最小值. 典型值 最大值 单位 温度 复位电压 V V 静态电流 Vin> 复位电压 na 版本 V3.01

34 5.4.6 温度传感器说明 参数条件最小值. 典型值最大值单位 [1] 电源 V 温度 电流消耗 ua 增益 mv/ 偏移量 Temp=0 720 mv 注 : 内部工作电压来自 LDO 比较器说明 参数 条件 最小值 典型值最大值 单位 温度 VDD V VDD 电流 20 ua@vdd=3 V ua 输入偏移电压 mv 输出漂移 ( 偏差 ) VDD-0.1 V 共模输入范围 VDD-1.2 V DC 增益 db V and VDIFF=0.1 V 20 mv@vcm=1 V 50 mv@vcm=0.1 V 50 mv for nonhysteresis One bit control W/O and W. V ~ VDD-1.2 V CINN=1.2 V ns mv - ±10 - mv us 版本 V3.01

35 5.4.8 USB PHY 说明 USB DC 电气特性 符号 参数 条件 最小值 典型值最大值 单位 V IH 输入高 (driven) 2.0 V V IL 输入低 0.8 V V DI 差分输入 PADP-PADM 0.2 V V CM 差分同模范围 Includes V DI range V V SE 单端接收器阈值 V 接收器迟滞 200 mv V OL 输出低 (driven) V V OH 输出高 (driven) V V CRS 输出信号串扰电压 V R PU 上拉电阻 kω R PD 下拉电阻 kω V TRM 上行端口上的上拉电阻的极限电压 (RPU) V Z DRV 驱动输出阻抗稳态驱动 * 10 Ω C IN 发射器电容 Pin to GND 20 pf * 驱动输出阻抗不包括串联电阻阻抗 USB 全速驱动器电气特性 符号 参数 条件 最小值 典型值最大值 单位 T FR 上升时间 C L =50p 4 20 ns T FF 下降时间 C L =50p 4 20 ns T FRFF 上升和下降时间比值 T FRFF =T FR /T FF % USB 电源功耗 符号参数条件最小值典型值最大值单位 I VDDREG ( 全速 ) VDDD 和 VDDREG 供给电流 ( 稳态 ) 待机 50 ua 输入模式 ua 版本 V3.01

36 输出模式 ua 版本 V3.01

37 5.5 SPI 动态特性 符号 参数 最小值 典型值 最大值 单位 SPI 主机模式 (VDD = 4.5V ~ 5.5V, 30pF 负载电容 ) t DS 数据准备时间 ns t DH 数据保持时间 ns t V 数据输出有效时间 ns SPI 主机模式 (VDD = 3.0V ~ 3.6V, 30pF 负载电容 ) t DS 数据准备时间 ns t DH 数据保持时间 ns t V 数据输出有效时间 ns SPI 从机模式 (VDD = 4.5V ~ 5.5V, 30pF 负载电容 ) t DS 数据准备时间 ns t DH 数据保持时间 2*PCLK ns t V 数据输出有效时间 - 2*PCLK+11 2*PCLK+19 ns SPI 从机模式 (VDD = 3.0V ~ 3.6V, 30pF 负载电容 ) t DS 数据准备时间 ns t DH 数据保持时间 2*PCLK ns t V 数据输出有效时间 - 2*PCLK+19 2*PCLK+25 ns 版本 V3.01

38 图 5-2 SPI 主机动态特性时序图 图 5-3 SPI 从机动态特性时序图 版本 V3.01

39 6 封装定义 L (14x14x1.4 mm footprint 2.0mm) HD D 7 51 A A2 A HE E e b L c L1 θ Controlling Dimension : Millimeters Y Symbol A A1 A 2 b c D E e H D H E L L1 y θ Dimension in inch Dimension in mm Min Nom Max Min Nom Max 版本 V3.01

40 6.2 64L (10x10x1.4mm footprint 2.0 mm) Symbol A A A b c D E e HD HE L y L 1 Dimension in inch Min Nom Max Min Nom Max Dimension in mm 版本 V3.01

41 6.3 48L (7x7x1.4mm footprint 2.0mm) H D D A A2 A H E E e b 12 SEATING PLANE c Y L1 L θ Controlling dimension : Millimeters Symbol A A1 A2 b c D E e HD HE L L1 Y 0 Dimension in inch Dimension in mm Min Nom Max Min Nom Max 版本 V3.01

42 7 版本历史 版本 日期 页 / 章节. 说明 V 年 4 月 9 日 - 初次发行 V 年 5 月 31 日 4.2 Add operation current of DC characteristics V 年 8 月 23 日 4.2 Modify operation current of DC characteristics V 年 11 月 11 日 - 更新 low density 和选型表 修订 NUC140XXXAN 或 NUC140XXXBN 为 NUC140XXXCN V 年 5 月 6 日 ALL 修订 NUC140 选型指南 修订功能描述 V 年 6 月 22 日 修订 DC 电气特性 錯誤! 找不到參照來源 更新温度传感器规格 修订多功能管脚 T2EX, T3EX, nrd, nwr 描述的位置錯誤! 找不到參照更新 SPI 动态特性标题來源 更新 BOD 规格錯誤! 找不到參照來源 版本 V3.01

43 Important Notice Nuvoton Products are neither intended nor warranted for usage in systems or equipment, any malfunction or failure of which may cause loss of human life, bodily injury or severe property damage. Such applications are deemed, Insecure Usage. Insecure usage includes, but is not limited to: equipment for surgical implementation, atomic energy control instruments, airplane or spaceship instruments, the control or operation of dynamic, brake or safety systems designed for vehicular use, traffic signal instruments, all types of safety devices, and other applications intended to support or sustain life. All Insecure Usage shall be made at customer s risk, and in the event that third parties lay claims to Nuvoton as a result of customer s Insecure Usage, customer shall indemnify the damages and liabilities thus incurred by Nuvoton 版本 V3.01

設計目標規格書

設計目標規格書 ARM Cortex -M0 32- 位微控制器 NuMicro Family NUC130 产品简介 The information described in this document is the exclusive intellectual property of Nuvoton Technology Corporation and shall not be reproduced without

More information

設計目標規格書

設計目標規格書 ARM Cortex -M0 32 位微控制器 NuMicro M051 DN/DE 系列 产品简介 The information described in this document is the exclusive intellectual property of Nuvoton Technology Corporation and shall not be reproduced without

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

設計目標規格書

設計目標規格書 NuMicro NUC200 系列 NUC200/NUC220 The information described in this document is the exclusive intellectual property of Nuvoton Technology Corporation and shall not be reproduced without permission from Nuvoton.

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

設計目標規格書

設計目標規格書 SERIES DATASHEET ARM Cortex -M 32- 位微控制器 NuMicro 家族 系列规格书 The information described in this document is the exclusive intellectual property of Nuvoton Technology Corporation and shall not be reproduced

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

DESCRIPTION

DESCRIPTION 集成 12-BIT ADC 的 8 位 MCU 特点 电源和复位 上电复位电路 (POR) 输入 / 输出 4 级可选的欠压复位电路 (BOR) 18 个 I/O 端口, 其中 P2.2 只能开漏输出 8 级可选低压检测 (LVD) 外围设备 LDO 给内核供电, 支持低功耗掉电模式 7 路键盘输入, 可唤醒 PD 模式 看门狗定时器 (WDT) 4 路外部中断输入, 可唤醒 PD 模式 CPU 12

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

Microsoft Word - A200911-255.doc

Microsoft Word - A200911-255.doc 硅 片 调 谐 器 (TUNER) 在 PC-TV 上 的 应 用 高 云 北 京 歌 华 有 线 电 视 网 络 股 份 有 限 公 司, 北 京 (100007) E-mail:gaoyun@bgctv.com.cn 摘 要 : 本 文 介 绍 一 款 USB 接 口 的 A+D 电 视 接 收 盒 的 设 计, 该 设 计 采 用 小 尺 寸 的 硅 片 TUNER 与 EM2880 芯 片

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

設計目標規格書

設計目標規格書 ARM Cortex -M0 32 位 微 控 制 器 NuMicro M051 BN 系 列 M052/M054 系 列 规 格 书 - 1 - 版 本 V1.01 目 录 1 概 述 7 2 特 征 8 3 方 块 图 12 4 选 型 表 13 5 管 脚 配 置 14 5.1 QFN 33 pin 14 5.2 LQFP 48 pin 15 5.3 管 脚 描 述 16 6 功 能 描 述

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A MSP430x15x, MSP430x16x MSP430x161x 1.8V 3.6V 1MHz 2.2V 280 A 1.6 A RAM 0.1 A 6 S 16 125 DMA 12 A/D 12 D/A / 16 A / 16 B USART1 UART SPI USART0 UART SPI I 2 C / Bootstrap Loader MSP430F155: 16KB+256B flash

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

設計目標規格書

設計目標規格書 ARM Cortex -M0 32 位微控制器 NuMicro M051 DN/DE 系列 规格书 The information described in this document is the exclusive intellectual property of Nuvoton Technology Corporation and shall not be reproduced without

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

PowerPoint Presentation

PowerPoint Presentation DALI 介绍 Digital Addressable Lighting Interface Mar 2017 DALI DALI: 数字地址化照明接口 (Digital Addressable Lighting Interface) 2 System structure example 3 DALI 2 the Standard IEC-62386 命令 DALI 协议定义双字节指令集, 指令分为标准指令和专用指令两大类

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

Microsoft Word - PZ series.doc

Microsoft Word - PZ series.doc 叠 层 片 式 铁 氧 体 磁 珠 P 系 列 Multilayer Chip Ferrite Bead P Series Operating Temp. : -4 ~ +8 特 征 FEATUES 内 部 印 有 银 电 极 的 叠 层 结 构, 铁 氧 体 屏 蔽 无 串 扰 Internal silver printed layers and magnetic shielded structures

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

設計目標規格書

設計目標規格書 NuMicro NUC131 系列规格书 The information described in this document is the exclusive intellectual property of Nuvoton Technology Corporation and shall not be reproduced without permission from Nuvoton. Nuvoton

More information

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB JX-300X DCS I/O / SBUS 3-1 3-1 JX-300X DCS 3-1 JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SBUS 3-2

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

ENGLISH TECHNIQUE PAPER

ENGLISH TECHNIQUE PAPER NuEdu-SDK-NUC0 用户手册 ARM Cortex -M0 -bit 微控制器 NuEdu-SDK-NCU0 用户手册 NuMicro NCU0 系列 The information described in this document is the exclusive intellectual property of Nuvoton Technology Corporation and

More information

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i 103 USB C#.NET VB6 100 USB 102 7 15 USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i LibUsbDotNet LibUsbDotNet USB.NET VB2010 VB6 Visual Basic

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M 705/706/707/708/813 HM705/706/707/708/813 1.15 HM705/706/707/708/813 2.6 5.0 0.1 1.22 5V 52 200 HM705/706/707/708/813 1.6 HM705/706/813 1.6 705/706/707/708/813 HM707/708 HM813 8 DIP8 8 SOP8 HM705/706 :

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

MM

MM 4.5 9 4.8 12 14 35 MM36 2 36 1.1 FM-206 FM-206 32 FM-206 POS 3 36 1.2 1:1 1:N 2 2 1:1 1:N 1.3 206 x x x x x Vxx mm A1000 B>1000 U USB2.0 / USB1.1 S SeriesUART) 206 1 2 4 36 DC 3.6~6.0V / 3.3V < < 5 36

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

STK2139 Datasheet

STK2139 Datasheet 太欣半導體股份有限公司 SYNTEK SEMICONDUCTOR CO., LTD. USB 2.0 Video Class PC Camera Controller STK2139 Datasheet Released Version: V1.4 Content STK2139 1 PRODUCT OVERVIEW... 4 2 FUNCTIONAL BLOCK DIAGRAM... 5 3 PRODUCT

More information

电源管理类产品

电源管理类产品 CN705/706/707/708/813 CN705/706/707/708/813 CN705/706/707/708/813 5.0 0.1 1.22 5V 52 CN705/706/707/708/813 CN705/706/813 1.6 CN707/708 CN813 8 DIP8 8 CN705/706 CN705/706/707/708/813 8 MR 1 DIP8 8 SOP8

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

MC33035 MC33035 MC33035 MOSFET MC33035 MC º/300º 120º/240º MOSFET 60º/300º 120º/240º MOSFET MC33035M C SOP24 MC33035P -40

MC33035 MC33035 MC33035 MOSFET MC33035 MC º/300º 120º/240º MOSFET 60º/300º 120º/240º MOSFET MC33035M C SOP24 MC33035P -40 MOSFET 60º/300º 120º/240º 10 30 6.25 3 MOSFET 60º/300º 120º/240º MOSFET M -40 +85 C SOP24 P -40 +85 C DIP24!" #$% : 5 10 1-702, :210037 (TEL.): (86)-25-8562 8245 8562 8265 (FAX): (86)-25-8562 8352 1 /

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information