Contents 1 简介 ISC 原理示意图 ISC SFR 描述 ISC 步骤 在线更新 外部 SPI FLASH 内部集成 SPI FLASH ISC 实例 FP

Size: px
Start display at page:

Download "Contents 1 简介 ISC 原理示意图 ISC SFR 描述 ISC 步骤 在线更新 外部 SPI FLASH 内部集成 SPI FLASH ISC 实例 FP"

Transcription

1 AstroII ISC 用户指南 Version 1.0 January 2010 Capital Microelectronics, Inc. CME 1

2 Contents 1 简介 ISC 原理示意图 ISC SFR 描述 ISC 步骤 在线更新 外部 SPI FLASH 内部集成 SPI FLASH ISC 实例 FPGA 设计 设计 设计 Firmware 设计 ISC 函数 Main 程序 操作步骤...9 CME 1

3 CME 2

4 AstroII ISC 用户指南 1 简介 AstroII 支持多配置映像 in system configuration (ISC) 用户可以根据系统的运行情况和外部条件不用关闭电源选择合适的配置映像配置 AstroII 并运行新的设计 AstroII 支持的配置映像数目取决于 SPI FLASH 的大小和配置文件的大小 配置文件包含 FPGA 的配置数据和 MCU 的代码 某些特定应用可以利用 AstroII 的 ISC 特性, 用低成本的 SPI FLASH 空间换取高成本的 FPGA 和 SRAM 空间 这些特定的应用有相同的 I/O 要求, FPGA 设计和 MCU 设计功能相同, 但是实现方法不同, 且不同时运行 满足这些条件的设计都可用 ISC 特性来拓展用户系统的性价比 AstroII 集成了高性能增强型 8051MCU, MCU 对 AstroII 的操作都简化为 MCU 对 SFR 的操作,MCU 对 AstroII 的操作就是软件对 SFR 的读写, 非常灵活易用 AstroII 的 8051MCU 可以很方便地读写配置 SPI FLASH 的多余空间 于 ISC 功能相结合,AstroII 就能方便的实现在线更新并运行 2 ISC MCU 对 AstroII 的 ISC 操作都是通过读写扩展的 SFR 来进行的,AstroII 的硬件配置逻辑实际控制对 SPI FLASH 的操作, 不需要其他任何硬件设计 AstroⅡ 的配置 Image 由 FPGA 配置数据和 MSS 的 8051 程序代码组成,FPGA 配置数据大小基本上一样,MSS 的代码随程序的的大小变化 由于 SPI FLASH 的擦除特性,AstroⅡ 的配置 Image 是按 Sector 存放在 SPI FLASH 里, 一个 Image 可占用多个 Sector 图 3-10 描述了多个 Image 存放在 SPI FLASH 的映射关系, 示意的是一个 Image 占用一个 Sector 在 Primace 软件也是依据这种关系生成并下载多个 Image 到 SPI FALSH 里 CME 1

5 2.1 原理示意图 图 1 ISC 示意图 2.2 ISC SFR 描述 Register Name Address (10 bits) R/W Default value Descriptions register location attribute Reset description value I2CSPISEL ABh R/W 8 H00 Bit0: SPI interface source. must be 1 Bit1:I 2 C IO select, contro the device IO attribute 0: the IO is used as general user IO 1: the IO is used as fixed I 2 C IO Bit[7:2] Reserved ISCADDR0 Ach R/W 8 H00 Reconfiguration start address [7:0] ISCADDR1 ADh R/W 8 H00 Reconfiguration start address [15:8] ISCADDR2 AEh R/W 8 H00 Reconfiguration start address [23:16] ISCADDR3 AFh R/W 8 H00 Reconfiguration start address [31:24] MISCCON F8h R/W 8 H00 Bit0 ISCEN: reconfiguration enable, be used to trigger the reconfiguration sequence 0: disable 1:enable BIT1 DPRAMWP: DPRAM write protect from FP 0:disable write 1:enable write Bit2 PLLLOCK: read only pll_lock, the status of pll 0: not lock 1: lock Bit3 PLLPWD: pll_pwrdn, pll power down 0: open the pll power 1: close the pll power CME 2

6 Bit4 CLKCPUTRIG: Triggers CLKCPUON and make it active when changes from 0 to 1. Bit5 CLKO0TRIG: Triggers CLKO0CON and make it active when changes from 0 to 1. Bit6 CLKO1TRIG: Triggers CLKO1CON and make it active when changes from 0 to 1. Bit7 CLKO2TRIG: Triggers CLKO2CON and make it active when changes from 0 to1. Table 1 Description of registers 2.3 ISC 步骤 ISC 步骤如下 : 向 ISCADDR3~ISCADDR0 4 个 SFR 写入想要配置的 Image 在 SPI FLASH 的起始地址 向 MISCCON(0xF8) 的第 0 位 ISCEN 写入 1 这两步后,AstroII 从虚线指向的地址读取 Image 的数据, 进行自我配置 在重配置过程中 MSS 是处在复位状态,ISCADDR3~ISCADDR0 以及 ISCEN 都自动清 0 注意 :AstroⅡ 上电复位后,AstroⅡ 默认读取 Image1 的数据进行配置 3 在线更新当用外部 SPI FLASH 作为 AstroII 的配置芯片时,AstroII 配置完成后,SPI 对应的 AstroII I/O 管脚可以用作普通 I/O 在 FPGA 设计中连接 8051 系统的 spi 接口与外部的 SPI FLASH 端口 当 AstroII 内部集成了 SPI FLASH 时, 在在 FPGA 设计中调用 spi_interface 模块, 再连接 8051 系统的 spi 接口 spi_interface 端口 下面的 8051 spi 用作 master,spi FLASH 用作 slave 0 AstroII 的在线更新就纯粹是 8051 的编程了 用户可以通过串口或别的接收新的配置文件数据并重新覆盖原来的配置文件, 重上电或在线 ISC 就可使 AstroII 运行在新的设计下 3.1 外部 SPI FLASH 外部 SPI FLASH 的连接如下 : output flash_sclk ; output flash_sdo ; output flash_cson ; input flash_sdi ; wire ssn; wire misoi, misoo, misotri; wire mosii, mosio, mositri; wire scki, scko, scktri; CME 3

7 wire [7:0]spssn ; assign assign assign assign flash_sclk = scko; flash_sdi = mosio; flash_cson = spssn[0]; misoi = flash_sdo; mysystem U_R8051XC(.clkcpu (clk ),.clkemif (clk ),.reset (cpu_rst),.swd(),.ro(),.port0i (port0i ),.port1i (port1i ),.port2i (port2i ),.port3i (port3i ),.port0o (port0o ),.port1o (port1o ),.port2o (port2o ),.port3o (port3o ), );.memaddr (memaddr ),.memdatao (memdatao ),.memdatai (memdatai ),.memack (memack),.memwr (memwr ),.memrd (memrd ),.scki ( ),.scko (scko),.scktri ( ),.ssn (1'b1),.misoi (misoi),.misoo ( ),.misotri ( ),.mosii ( ),.mosio (mosio),.mositri ( ),.spssn (spssn) 3.2 内部集成 SPI FLASH 内部集成 SPI FLASH 的连接如下 : CME 4

8 wire ssn; wire misoi, misoo, misotri; wire mosii, mosio, mositri; wire scki, scko, scktri; wire [7:0]spssn ; spi_interface spi_interface_inst(.sclk(flash_sclk),.sdo(flash_sdo),.cson(flash_cson),.sdi(flash_sdi) ); mysystem U_R8051XC(.clkcpu (clk ),.clkemif (clk ),.reset (cpu_rst),.swd(),.ro(),.port0i (port0i ),.port1i (port1i ),.port2i (port2i ),.port3i (port3i ),.port0o (port0o ),.port1o (port1o ),.port2o (port2o ),.port3o (port3o ),.memaddr (memaddr ),.memdatao (memdatao ),.memdatai (memdatai ),.memack (memack),.memwr (memwr ),.memrd (memrd ),.scki ( ),.scko (scko),.scktri ( ),.ssn (1'b1),.misoi (misoi),.misoo ( ),.misotri ( ),.mosii ( ),.mosio (mosio),.mositri ( ), CME 5

9 );.spssn (spssn ) 4 ISC 实例 必须在设计里面嵌入 ISC 模块, 才能在系统运行中进行 ISC 和在系统更新 用户设计的 FPGA 设计可以相同或不同,8051firmware 设计也可以相同或不同, 这取决于用户的设计 下面以两个简单的设计来说明 ISC 的具体使用 实例是 FPGA 的设计不同,8051firmware 设计相同 板子加电后, 系统运行, 当按键按下触发 8051 的外部中断 0 中断 0 处理程序根据外部拨码开关的值选择设计 1 或 2 来运行 不同的设计用不同的 LED 显示来区分 4.1 FPGA 设计 设计 1 //dip input[1:0] sw_dip; //user button input[1:0] sw_user; //rs232 input rs232_rx0; output rs232_tx0; //led output[3:0] led_user; wire [7:0] port0i; wire [7:0] port1i; wire [7:0] port2i; wire [7:0] port3i; wire [7:0] port0o; wire [7:0] port1o; wire [7:0] port2o; wire [7:0] port3o; wire ssn; wire misoi, misoo, misotri; wire mosii, mosio, mositri; wire scki, scko, scktri; wire [7:0]spssn ; assign port3i[2] = ext_int0; assign port3i[3] = ext_int1; CME 6

10 //dip assign port2i[1:0] = sw_dip; //user button assign port2i[5:4] = sw_user; //rs232 0 assign port3i[0] = rs232_rx0; assign rs232_tx0 = port3o[1]; //led assign led_user[3] = port2o[0] ; assign led_user[2] = port2o[1] ; assign led_user[1] = port2o[2] ; assign led_user[0] = cnt[23] ; //ext int assign ext_int0 = sw_user[1]; // int0 for isc spi_interface spi_interface_inst(.sclk(flash_sclk),.sdo(flash_sdo),.cson(flash_cson),.sdi(flash_sdi) ); mysystem U_R8051XC(.clkcpu (clk ),.clkemif (clk ),.reset (cpu_rst),.swd(),.ro(),.port0i (port0i ),.port1i (port1i ),.port2i (port2i ),.port3i (port3i ),.port0o (port0o ),.port1o (port1o ),.port2o (port2o ),.port3o (port3o ),.memaddr (memaddr ),.memdatao (memdatao ),.memdatai (memdatai ),.memack (memack),.memwr (memwr ),.memrd (memrd ),.scki ( ),.scko (scko), CME 7

11 .scktri ( ),.ssn (1'b1),.misoi (misoi),.misoo ( ),.misotri ( ),.mosii ( ),.mosio (mosio),.mositri ( ),.spssn (spssn) ); 外部按键连到中断 0 assign ext_int0 = sw_user[1]; 中断 0 复用到 P3 口 assign port3i[2] = ext_int0; 设计 2 设计 2 与设计 1 的差别仅在 led 的显示不同 : //led assign led_user[3] = cnt[23] ; assign led_user[2] = port2o[2] ; assign led_user[1] = port2o[1] ; assign led_user[0] = port2o[0] ; 4.2 Firmware 设计 ISC 函数 void ISCReq (INT8U id) { if(id <= 0xf) { ISCADDR0 = 0; ISCADDR1 = 0; ISCADDR2 = id; ISCADDR3 = 0; } else { ISCADDR0 = 0; ISCADDR1 = 0; ISCADDR2 = 0; CME 8

12 ISCADDR3 = 0; } ISCEN = 1; // recfg _nop_(); } 函数 ISCReq 根据 id 设置新的配置映像地址, 执行 ISCEN = 1 后,AstroII 就会从选定的地址里读取配置映像的数据配置 AstroII 8051 处于复位状态, 直到新的配置完成后才重新开始运行 Main 程序 EX0 = 1; //enable Ext Int 0 EAL = 1; I2CSPISEL = I2CSPISEL 0x1;// I2CSPISEL SFR 的第 0 位置 1, 使能 SPI 接口 while(1) { for(i = 0; i< 255 ; i++) { P2 = 0x00; 延时 2 秒 ; P2 = 0xff; 延时 3 秒 ; } } 主程序设置后无限循环, 且 P2 输出波形 中断 0 中断处理程序如下 : 读取拨码开关的值, 关中断, 延时去抖动, 调用 ISCReq 函数 void isr_extint0() interrupt 0 { } id = (P2&0x3); // Get the external dip value to id,p2_0,p2_1 EAL = 0; delay1ms(8000); //delay for key jitter ISCReq(id); _nop_(); EAL = 1; 4.3 操作步骤 1. 建立设计 1 和设计 2 工程,build 后生成 demo1_spi.acf 和 demo2_spi.acf 2. 在 tools 底下用 configuration packer 工具生成 demo_spi.mcf CME 9

13 3. download demo_spi.mcf 4. 3 个 LED 同时闪 (8051 控制 ), 一个以不同的频率闪 (FPGA 控制 ) 5. 改变拨码开关的值, 按下按键 LED 闪烁与前面的不一样,AstroII 切换到设计 2 运行 CME 10

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

注意 3 京微雅格 ( 北京 ) 科技有限公司版权所有未经京微雅格 ( 北京 ) 科技有限公司书面许可, 不得以任何形式或方式, 如电子 机械形式, 包括影印 录音或其他数据储存和检索系统形式复制或转移此文档的任何部分, 或将其翻译为其它任何语言或计算机语言 声明本手册中包含的信息已经仔细检查并认为

注意 3 京微雅格 ( 北京 ) 科技有限公司版权所有未经京微雅格 ( 北京 ) 科技有限公司书面许可, 不得以任何形式或方式, 如电子 机械形式, 包括影印 录音或其他数据储存和检索系统形式复制或转移此文档的任何部分, 或将其翻译为其它任何语言或计算机语言 声明本手册中包含的信息已经仔细检查并认为 CME-M FPGA 简易版数据手册 3 年 5 月 京微雅格 ( 北京 ) 科技有限公司 注意 3 京微雅格 ( 北京 ) 科技有限公司版权所有未经京微雅格 ( 北京 ) 科技有限公司书面许可, 不得以任何形式或方式, 如电子 机械形式, 包括影印 录音或其他数据储存和检索系统形式复制或转移此文档的任何部分, 或将其翻译为其它任何语言或计算机语言 声明本手册中包含的信息已经仔细检查并认为是完全可靠的

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

新建 Microsoft Word 文档.doc

新建 Microsoft Word 文档.doc Damayi Bluetooth DB10Bluetooth Development Board 1.0 CPU LCD CSR BlueCore Bluetooth DB10 Bluetooth Main Board Bluetooth Inte rface Boar d MCU Interface Board CSR BlueCore CSR Bluelab SDK Damayi Bluetooth

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

行业

行业 PCI-1727U 快 速 安 装 使 用 手 册 PCI-1727U 快 速 安 装 使 用 手 册... 1 第 一 章 产 品 介 绍... 2 1.1 概 述...2 1.1.1 即 插 即 用 功 能...2 1.1.2 灵 活 的 电 压 输 出 范 围...2 1.1.3 板 卡 ID...2 1.2 特 点 :...2 1.3 选 型 指 导...2 第 二 章 安 装 与 测 试...

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

入學考試網上報名指南

入學考試網上報名指南 入 學 考 試 網 上 報 名 指 南 On-line Application Guide for Admission Examination 16/01/2015 University of Macau Table of Contents Table of Contents... 1 A. 新 申 請 網 上 登 記 帳 戶 /Register for New Account... 2 B. 填

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

2

2 1 2 Y J Q CLK CK K Q 3 4 5 6 7 峯峯 8 9 敍 10 11 12 13 VCC D1 R1 R2 To MCU RESET C1 14 15 EA 16 17 18 19 A18 A17 A16 A20 A19 ADDRS A2 A1 A0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 E3 E2 E1 74LS138 MPWR MPRD Vcc WR RD CS

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

Microsoft Word - ~ doc

Microsoft Word - ~ doc EEPROM 功能使用方法 1 适用产品 : 1.1 SM39R16A2/ SM39R12A2/ SM39R08A2 1.2 SM39R4051/ SM39R2051 1.3 SM39R04G1/ SM39R02G1 2 EEPROM 功能概述 : 2.1 使用 code flash 仿真为 Internal EEPROM, 在程序执行时, 可将 code flash 作为 data flash 储存数据使用

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

f2.eps

f2.eps 前 言, 目 录 产 品 概 况 1 SICAM PAS SICAM 电 力 自 动 化 系 统 配 置 和 使 用 说 明 配 置 2 操 作 3 实 时 数 据 4 人 机 界 面 5 SINAUT LSA 转 换 器 6 状 态 与 控 制 信 息 A 版 本 号 : 08.03.05 附 录, 索 引 安 全 标 识 由 于 对 设 备 的 特 殊 操 作 往 往 需 要 一 些 特 殊 的

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

STM32 for sensorless vector control

STM32 for sensorless vector control STM32 PMSM FOC Shanghai, March,2008 Agenda STM32 FOC Clark Parke Circle limitation Mar 08 1 Agenda / Hall PMSM PLL MC_State_observer_param.h Mar 08 2 Agenda MC_Control_param.h / PI Mar 08 3 Plan STM32

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

逢甲大學

逢甲大學 Behavior Model DES PCI DES PCI DES DES(Data Encryption Standard) IBM DES DES DES DES DES DES / DES DES P. - (Round) / - k,k,,k k,k,,k P. - (Initial Permutation) L R R k f L (XOR) R R L Ri = Li- XOR f(ri-,ki)

More information

××××股份有限公司季度报告

××××股份有限公司季度报告 2006 1 2006 1.1 1.2 1.3 1.4 1.5 2 2.1 001896 6 6 0371-67984649 0371-67984649 0371-67984647 0371-67984647 Lijf@yuneng.com.cn LiuQun@yuneng.com.cn 2.2 2.2.1 1 2006 % 1,237,542,001.22 1,165,520,973.59 6.18

More information

,443,189, ,838,154, % 1,909,639, ,772,976, % % % 704, ,339,86

,443,189, ,838,154, % 1,909,639, ,772,976, % % % 704, ,339,86 2006 2006 1 1.1 1.2 1.3 1.4 1.5 2 2.1 G 000876 _ 1 4 1 4 02886666298 02882870869 02886673344 02886673344 dongshiban@newhope.sina.net dongshiban@newhope.sina.net 2006 2.2 2.2.1 4,443,189,724.88 3,838,154,420.54

More information

,656, ,003, % 341,906, ,125, % % % 770, ,205,

,656, ,003, % 341,906, ,125, % % % 770, ,205, 2006 2006 1 1.1 1.2 1.3 1.4 1.5 2 2.1 G 000695 27 022 66202230 022 66202232 st695@eyou.com 2006 2.2 2.2.1 908,656,291.38 800,003,311.41 13.58% 341,906,338.52 337,125,986.00 1.42% 1.54 1.52 1.32% 1.54 1.51

More information

厦门创兴科技股份有限公司

厦门创兴科技股份有限公司 600129 2005 2005 4 2005 1... 3 2... 3 3... 5 4... 9 2 2005 1 1.1 1.2 1.3 1.4 2 2.1 600129 ( ) 1 023-72800072 023-89886129 023-72800072 023-89886129 xiaxue600129@sina.com 2.2 2.2.1 : () 4,971,498,079.29

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

Simulator By SunLingxi 2003

Simulator By SunLingxi 2003 Simulator By SunLingxi sunlingxi@sina.com 2003 windows 2000 Tornado ping ping 1. Tornado Full Simulator...3 2....3 3. ping...6 4. Tornado Simulator BSP...6 5. VxWorks simpc...7 6. simulator...7 7. simulator

More information

行业

行业 PCI-1762 PCI-1762 1.1...2 1.1.1...2 1.1.2...2 1.1.3...2 1.1.4 PCI...2 1.1.5 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1 NC/NO(/)...3 2.2.2...4 2.2.2 ID...5 2.3...5 2.3.1...5 2.3.2...7 2.4...12 2.4.1...12

More information

SDP 1 2 3 4 8 9 10 12 19

SDP 1 2 3 4 8 9 10 12 19 SDP SDP 1 2 3 4 8 9 10 12 19 SDP 2 SDP CANBUS 3m/s 48 1 2 N 3 4 5 6 7 8 9 EMC EMC ENS008212 EN618003 10 IP21 SDP 3 1 1 4 2 5 3 P24 103 104 N24 G24 P24 101 102 N24 G24 J2 J3 n P2 P1 P3 J2 J1 J3 1 P2 P1

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

穨UPSentry_SC_.PDF

穨UPSentry_SC_.PDF 3Phase UPSentry For: Windows 95/98/Me Windows NT40/2000/XP Table of Contents Chapter 0 Getting Started 0-1 0-1 0-1 0-2 Chapter 1 3Phase UPSentry 1-1 1-1 1-1 1-1 1-3 Chapter 2 3Phase UPSentry 2-1 Chapter

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

Section1_16bit Arc.ppt

Section1_16bit Arc.ppt 16-bit Elite Program 2009 Summer Section-1 Microchip 16-bit 2005 Microchip Technology Incorporated. All Rights Reserved. Slide 1 MCU CPU (NOP),, (Data Memory), I/O CPU w. ALU/Working Reg. Data Mem. Data

More information

MVB-1001.DOC

MVB-1001.DOC 20 1.5 10 15 20 25 80 100 CSF 1. 2. 0105 3. 4. 5. 30% 1.5 0.75 1. Visual Basic Visual Basic (A) Visual Basic Enterprise Edition (B) Visual Basic Script Edition (C) Visual Basic Learning Edition (D) Visual

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

数据采集编程指南 下篇 ni.com/china/daq

数据采集编程指南 下篇                                                                                    ni.com/china/daq 数 据 采 集 编 程 指 南 下 篇 目 录 数 据 存 储 与 文 件 I/O 1-8 同 步 ( 上 ) 9-13 同 步 ( 下 ) 14-21 特 别 篇 : 模 块 化 仪 器 22-26 数 据 存 储 与 文 件 I/O 简 介 本 期 内 容 将 介 绍 如 何 使 用 NI 数 据 采 集 板 卡 来 实 现 数 据 的 存 储 和 文 件 I/O 操 作 在 一 个 典 型 的

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

untitled

untitled Interactivity Kit 020-100989-03 2014 Christie Digital Systems USA Inc. ISO 9001 14001 a. b. c. d. DVD e. internet f. g. / h. i. j. LCD LCD LCD 5 8 k. l. LCD m. / (i) / (ii) FCC 15 A CAN ICES-3 (A) / NMB-3

More information

untitled

untitled FBC0409 V1.0 1.0 05.06.22 SIA 2005 SIA SIA SIA SIA SIA 114 86-24-23970133 HTTP://WWW.SIA.CN YANG@SIA.CN 2 ...5...5...6 PIN...6...7 1 CPU...8 2...8 4...8 5 DMA...9 7....9 8...9 9...10 A...10 B...10...11.

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

<55342D323637CBB5C3F7CAE92E786C73>

<55342D323637CBB5C3F7CAE92E786C73> U4-267 / 1 U4-267 / : CF PowerPoint, TCP/IP Internet Explorer 2 ..2..3..4..5..5..5..9 PC...10 11 12 14 14....15....15....16....16....17....17....18....18....20 23....27 27 PC...27....28 3 CF SanDisk CompactFlash)

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

C/C++ - 文件IO

C/C++ - 文件IO C/C++ IO Table of contents 1. 2. 3. 4. 1 C ASCII ASCII ASCII 2 10000 00100111 00010000 31H, 30H, 30H, 30H, 30H 1, 0, 0, 0, 0 ASCII 3 4 5 UNIX ANSI C 5 FILE FILE 6 stdio.h typedef struct { int level ;

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

Outline USB Application Requirements Variable Definition Communications Code for VB Code for Keil C Practice

Outline USB Application Requirements Variable Definition Communications Code for VB Code for Keil C Practice 路 ESW 聯 USB Chapter 9 Applications For Windows Outline USB Application Requirements Variable Definition Communications Code for VB Code for Keil C Practice USB I/O USB / USB 3 料 2 1 3 路 USB / 列 料 料 料 LED

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

untitled

untitled 0000137925 REV 1.0 ... 4... 5... 6... 7... 8... 9... 11... 12... 13... 14... 15... 17... 18... 20... 22 ( 1)... 25... 26 ( 2)... 28 \ 1 ( 2A)... 29 \ 2 ( 2B)... 30 SSR ( 2C)... 31 \ ( 2D)... 32 \ ( 3A)...

More information

VIDEOJET connect 7000 VJC-7000-90 zh- CHS Operation Manual VIDEOJET connect 7000 zh-chs 3 目 录 1 浏 览 器 连 接 7 1.1 系 统 要 求 7 1.2 建 立 连 接 7 1.2.1 摄 像 机 中 的 密 码 保 护 7 1.3 受 保 护 的 网 络 7 2 系 统 概 述 8 2.1 实 况

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC General Description: IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC 15K 300pF 256KHZ default on 32 function key Built-in TT6221/TT6222 decoder Provide

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

Microsoft PowerPoint - Lecture7II.ppt

Microsoft PowerPoint - Lecture7II.ppt Lecture 8II SUDOKU PUZZLE SUDOKU New Play Check 軟體實作與計算實驗 1 4x4 Sudoku row column 3 2 } 4 } block 1 4 軟體實作與計算實驗 2 Sudoku Puzzle Numbers in the puzzle belong {1,2,3,4} Constraints Each column must contain

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

untitled

untitled IN2112, IN2114, IN2116 010-0735-02 DISPERINDAG No. 0287/1.824.51/09.0 Regulatory models: V2000, M2100 InFocus Corporation, 13190 SW 68th Parkway, Portland, Oregon 97223-8368 USA EMC 2004/108/EC EuP 2005/32/EC

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

untitled

untitled 2010 2010 1 1.1 1.2 1.3 ( ) 2 2.1 2010.9.30 2009.12.31 % 4,126,073,567.93 3,693,840,245.74 11.70% 1,168,078,495.46 1,025,785,662.02 13.87% 479,722,800.00 479,722,800.00 0.00% / 2010 7-9 2.43 2.14 13.55%

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

06-4.indd

06-4.indd 1 02 07 13 16 20 28 33 38 42 46 48 51 57 64 65 65 66 67 68 2 3 4 5 6 7 8 9 10 11 12 13 LL T : 14 LL T 15 16 扫描电子显微镜成像模拟的 MPI 及 OpenMP 并行化 17 18 19 20 21 22 ~ ~ ~ 23 24 ~ ~ ~ ~ ~ ~ ~ 25 26 27 28 29 图 3

More information

团 学 要 闻 我 校 召 开 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 3 月 17 日, 我 校 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 在 行 政 办 公 楼 五 楼 会 议 室 举 行, 校 团 委 委 员 各 院 ( 系 ) 团 委 书 记 校 学 生

团 学 要 闻 我 校 召 开 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 3 月 17 日, 我 校 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 在 行 政 办 公 楼 五 楼 会 议 室 举 行, 校 团 委 委 员 各 院 ( 系 ) 团 委 书 记 校 学 生 共 青 团 工 作 简 报 2011 年 第 1 期 共 青 团 大 连 海 洋 大 学 委 员 会 团 学 要 闻 : 导 读 我 校 召 开 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 我 校 在 大 连 市 大 学 生 创 新 创 意 作 品 大 赛 中 取 得 佳 绩 校 团 委 召 开 学 生 干 部 思 想 动 态 座 谈 会 校 团 委 组 织 开 展 弘 扬 雷 锋

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

mvc

mvc Build an application Tutor : Michael Pan Application Source codes - - Frameworks Xib files - - Resources - ( ) info.plist - UIKit Framework UIApplication Event status bar, icon... delegation [UIApplication

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information