LIN 规范包版本 年 9 月 23 日 ;P1 LIN 规范包版本 2.0 本规范是在 ASIS 的基础上形成的, 不可以作为任何索赔的依据 LIN 协会 版权所有 未经授权的复印 演示 或对本文件其他方面的使用, 都是 违反法律和知识产权的 LIN 是一个注册商标.

Size: px
Start display at page:

Download "LIN 规范包版本 年 9 月 23 日 ;P1 LIN 规范包版本 2.0 本规范是在 ASIS 的基础上形成的, 不可以作为任何索赔的依据 LIN 协会 版权所有 未经授权的复印 演示 或对本文件其他方面的使用, 都是 违反法律和知识产权的 LIN 是一个注册商标."

Transcription

1 LIN 规范包版本 年 9 月 23 日 ;P1 LIN 规范包版本 2.0 本规范是在 ASIS 的基础上形成的, 不可以作为任何索赔的依据 LIN 协会 版权所有 未经授权的复印 演示 或对本文件其他方面的使用, 都是 违反法律和知识产权的 LIN 是一个注册商标. 本文件的任何分发都登记在案

2 修订记录 LIN 规范包版本 年 9 月 23 日 ;P2 1. 修订记录 发行号 日期 备注 LIN LIN 规范的初始版本 LIN LIN LIN LIN 主要修订

3 LIN LIN 规范包版本 年 9 月 23 日 ;P3 2. LIN LIN(Local Interconnect Network, 本地互连网络 ) 是专门为汽车开发的一种低成本串行通信网络 它是现有汽车多元化网络公文包的一个补充 LIN 将成为层级式机动车网络执行的一个可行性因素, 能够提高质量 降低车辆成本 标准化意味着将会减少目前市场上杂乱的低端多元化解决方案, 并降低汽车电子产品在开发 生产 服务及物流领域的费用 2.1 范围 LIN 标准包括输送协议规范 传输介质规范 开发工具之间的接口规范 以及用于软件编程的接口规范 从硬件和软件的角度来说,LIN 提高了网络节点之间的的互操作性, 并可以提高可预见的 EMC 行为 2.2 特点和可能性 LIN 是一种串行通信协议, 能够有效地支持分布式汽车应用领域内的机电一体化节点控制 LIN 总线的主要属性如下 : 拥有多从机概念的单主机 基于通用 UART/SCI 接口硬件的低成本硅的实现 UART/SCI 接口硬件相当于软件中的一个等同体, 或可以作为纯态机 从机节点不需石英或陶瓷振荡器就能实现自同步 信号传播时间可预先计算出来的确定性信号传播, 实现低成本单线实现方式 传输速率最高可达 20Kb/s 基于应用交互的信号本规范的目的是希望能够在标准范围内 在任意两个 LIN 操作之间获得兼容, 也就是说从应用接口 API 一直到物理层 LIN 提供了一套可以节约成本而且非常有效的总线通信 该通信系统不需要带宽和 CAN 多功能性 单接线驱动器 / 接收器的规范遵循 ISO9141 标准, 并对 EMI 的行为做了某些改进

4 LIN LIN 规范包版本 年 9 月 23 日 ;P4 2.3 工作流程概念 LIN 的工作流程概念, 考虑到了设计和开发工具之间的无缝链接操作 它可以提高 LIN 机群的开发速 度和可靠性 LIN 配置语言考虑到了节点数量的安全收缩, 这不会破坏 LIN 的系统功能, 如信息不兼容性或网 络超载 LIN 配置语言是调试 LIN 机群的一个非常有用的工具, 包括未完成节点的仿真 LIN 节点功能语言是 LIN2.0 的一个新特征, 它为远离机架的从机节点技术规格提供了标准化的 语法结构 这不仅可以简化标准节点的获得, 而且使机群的生成自动成为了可能 因此, 机群上节点的即插即用功能将会成为现实 下图便是工作流程的其中一个应用 : 从机节点与主机节点连接, 形成 LIN 机群 相应的节点能力文件被系统定义工具解析, 从而在系统的定义过程中产生 LIN 描述文件 (LDF),LDF 被系统产生器分解后, 会自动地在指定节点 ( 如上图中的主节点和从节点 3) 中产生与 LIN 相关的功能 此外,LIN 的总线分析器 / 仿真器工具也可以利用 LDF 进行机群的调试

5 LIN LIN 规范包版本 年 9 月 23 日 ;P5 2.4 节点概念 上述流程产生了完整的 LIN 机群交互模块, 而开发商只需要提供执行节点逻辑功能的应用程序即可 虽然,LIN 的许多规范采用的是具有诸多功能的软件执行, 但是也可以促进可供选择的其他方案的实现 在后一个例子中,LIN 的文件结构只能被视为一个描述模块 : LIN 机群中连接到物理总线的节点会使用帧收发器, 这些帧不直接通过应用程序直接访问 ; 在两者之间需添加基于信号的交互层 作为一个补充, 诊断接口存在于应用程序和帧处理器之间 如下文 : 2.5 操作概念 主机和从机 LIN 机群由 1 个主机任务和几个从机任务组成 主机节点 1 同时包含主机任务和从机任务 其他的所有 节点只包括一个从机任务 下文便是一个 LIN 机群的应用 该机群包含一个主机节点和两个从机节点 的 : 注 1: 一个节点可能会参与多个机群 如果节点有多个 LIN 总线接口, 那么这里的 节点 只涉及到一个节点的单 总线接口

6 LIN LIN 规范包版本 年 9 月 23 日 ;P6 主任务会指定在总线传送的帧的类型和时间 从机任务提供数据, 由每个帧来传送 主机任务和从机任务都是帧处理器的一部分 见 2.4 章节 帧 帧由一个帧头 ( 由主机任务提供 ) 和一个帧响应 ( 由从机任务提供 ) 组成 帧头包括同步间隔场 同步场和标识符场三个部分 ; 标识符场位置在间隔场和同步场之后 标识符唯一定义了帧的目的 而用于提供与标识符相关的帧响应的从机任务就会输送该目的, 过程如下文所示 帧响应由于数据场和校验和场组成 如果从机任务对标识符有关的数据感兴趣, 那么它就可以接收这个响应, 核实校验和, 并使用这些被传送过来的数据 主机任务 - 帧头 帧头 从机任务 1 帧响应 帧响应从机任务 2 上述过程会产生下列希望得到的特点 : 系统弹性 : 节点可以被添加到 LIN 机群上, 而无需改变其他从机节点上的硬件或软件 信息路由 : 信息的内容由标识符 2 定义 多点传送 : 无论有多少个节点, 它们都可以同时接受信息, 且在单个帧上进行操作 数据输送 一个帧可以输送两种类型的数据 : 信号或诊断报文 信号 : 信号指得是标量值或字节数组 这些标量值或字节数组打包后放入帧的数据场里面 对所有拥有相同标识符的帧来说, 一个信号在数据场内的位置总是一样的 注 2: 与 CAN 相似

7 LIN LIN 规范包版本 年 9 月 23 日 ;P7 诊断报文 : 诊断报文的输送是在具有两个保留标识符的帧里面完成的 数据场的判读取决于数据场本身以及通讯节点的状态 进度表 ( 处于主机节点的 ) 主机任务会根据进度表输送帧头 进度表具体规定了每个帧头的标识符以及一个帧与下一个帧之间的间隔 主机应用可以使用不同的进度表, 并在它们之间进行挑选 2.6 文件概述 LIN 规范包包含下列规范 : LIN 物理层规范 对物理层进行了描述, 包括比特率 时钟偏差等等 LIN 协议规范 对 LIN 数据连接层进行了描述 LIN 诊断和配置规范 描述了为诊断报文和节点配置提供的服务 该服务置于数据连接层的上面 LIN 的 API 规范 描述了网络与应用程序之间的接口, 包括诊断模块 LIN 配置语言规范 描述了 LIN 描述文件的格式, 该文件用于配置整个网络, 在不同网络节点 OEM 和供应商之间不但起到通用界面的作用, 而且是开发和分析工具的输入部分 LIN 的节点能力语言规范 对用于描述离架从机节点的模板进行讲解 离架从机节点可以与即插即用工具一起使用, 从而自动创立了 LIN 描述文件 2.7 历史和背景 1999 年 7 月,LIN 版本 1.0 发布 该规范受到了当时一些汽车公司所使用的 VLITE 总线的重要影响 2000 年,LIN 标准被两次更新, 从而于 2000 年 11 月产生了 LIN 年 11 月,LIN 协会发布了 LIN 1.3 版本的标准 这些修正主要是针对物理层进行, 其目的就是为了改进节点之间的兼容性

8 LIN LIN 规范包版本 年 9 月 23 日 ;P8 全新的 LIN 2.0 版本向我们呈现了 LIN 规范从其鼻祖 LIN 1.3 开始的革命性发展 除了 章节所述的特例外, 专门为 LIN 2.0 和 LIN 2.3 设计的节点将会在彼此之间进行通讯 同时,LIN 2.0 规范被全部整改 原本不明朗的问题现在得到了解决, 而需要修改的地方也被相应地修改了 LIN 2.0 是 LIN 规范的调整, 它反映了最新的发展趋势 ; 特别是离架从机节点的使用 SAE J2602 任务组三年来对 LIN 规范的不断投入才使这次重大修订获得了成功 LIN 2.0 同时也包括了一些新的特点, 主要是配置 / 诊断标准化的支持和特定的节点能力文件 这两个特点的目标在于简化离架从机节点的使用 与 LIN 1.3 的兼容性 LIN 2.0 是 LIN 1.3 的扩展集, 是最新研发产品的推荐版本 一个 LIN 2.0 主机节点能够处理包括 LIN 1.3 从机和 / 或 LIN 2.0 从机的机群 主机不会向 LIN 1.3 的从机要求获得任何新的 LIN 2.0 特点 增强校验和, 重新配置和诊断, 波特率自动探测, 响应错误 状态监控, LIN 2.0 从机节点无法与 LIN 1.3 主机节点操作 ( 需对 LIN 1.3 主机节点进行配置 )

9 LIN LIN 规范包版本 年 9 月 23 日 ;P LIN 1.3 与 LIN 2.0 之间的差异下文所列的项目是 LIN 1.3 与 LIN 2.0 的不同点 文件更名和问题澄清化则不包括在本章节中 支持字节数组, 信号可以达到 8 个字节 可以删除信号组 ( 被字节数组取代 ) 规范包括了比特率的自动探测功能 对 LIN 1.3 的传统校验和进行了改进 ( 包括受保护的标识符 ) 对零星帧进行了定义 网络管理的定时用秒来定义, 而不是用比特数来定义 简化状态管理, 并直接向网络汇报 应用标准化 增加了强制节点配置命令以及其他选择命令 增加了诊断功能 每个节点的 LIN 产品 ID 标准化 用于微控制器节点的强制 API 节点是 C 语言编程的 API 发生变化, 能够反映下列变化 : 字节数组 休眠 唤醒以及状态读取 添加了诊断 API 添加了节点能力语言规范 更新了配置语言规范, 以便能够反映所做的变化 ; 添加了节点属性 节点结构 字节数组 零星帧以及配置 2.8 参考文献 [1] 道路车辆 诊断系统 数据信息交换要求, 国际标准 ISO9141, 第一版,1989.

10 LIN LIN 规范包版本 年 9 月 23 日 ;P10 3. LIN 术语表 下列术语通常出现在 LIN 2.0 规范包 里面 本术语表内, 每个词汇的描述都被简化 ; 此外, 还提供 了一些主要文件和章节的参考文献 它们的缩写如下 : PHY LIN Physical Layer Specification (LIN 物理层规范 ) PROT LIN Protocol Specification(LIN 协议规范 ) DIAG LIN Diagnostic and Configuration Specification(LIN 诊断和配置规范 ) CLS LIN Configuration Language Specification(LIN 配置语言规范 ) API LIN API Specification(LIN API 规范 ) NCL LIN Node Capability Language Specification(LIN 节点能力语言规范 ) 活动模式 作为一个机群, 其中的节点彼此之间能够互相通信 [PROT 5] 总线接口 与机群中物理总线相连的节点逻辑产品 ( 收发器 UART 等等 ) 字节场校验和模型传统校验和机群 LIN 总线上的每个字节可以在一个字节场里发送 ; 字节场包括起始位和终止位 [PROT 2.1] 确认了两个校验和模型 ; 传统校验和和增强校验和 增强校验和包括校验和内部受保护的标识符, 而传统校验和则不包括 [PROT 2.1.5] 早期 LIN 版本所使用的校验和, 用于帧的诊断 : 它只是将所有的数据字节进行统计 [PROT 2.1.5] 机群指得是 LIN 总线以及所有的节点 数据 LIN 的帧响应会输送 1-8 个字节 这些字节被统称为数据 [PROT 2.1.4] 数据字节数据中的其中一个字节 [PROT 2.1.4] 诊断帧主机请求帧和从机响应帧被称为诊断帧 [PROT 2.3.4] 增强校验和 新型校验和, 其性能相对较好 : 除了数据字节外, 它还包括总数中的受保护标识符 增强校验和用于 LIN 2.0 从机节点的通信 [PROT 2.1.5]

11 LIN LIN 规范包版本 年 9 月 23 日 ;P11 事件触发帧 作为 占位符, 事件触发帧可以允许多个从机节点为其提供响应 当信号不怎么变化时, 这个帧非常有用 [PROT 2.3.2] 帧所有信息将会以帧的形式打包发送 ; 帧包括帧头和帧响应 [PROT 2] 帧槽 LIN 总线上为某个特定帧的传送所保留的时间 与进度表上的某个条目相符合 PROT 2.2] 休眠命令这是一个特殊诊断帧, 可以强迫从机节点进入休眠状态 [PROT 5.2] [API 2.5.4] 帧头帧的第一部分 ; 由主机任务发送 [PROT 2.1] 标识符帧在 0-63 范围内的 ID [PROT 2.1.3] LIN 描述文件 LDF 是在系统定义过程中产生的, 并在系统生成过程中或由调试工具解析 [CLS] [NCL 1.1] LIN 产品标志号每个 LIN 节点的独特号码 [DIAG 2.4] 主机节点主机请求帧主机任务信息标识符 NAD 主机节点不仅包括一个从机任务, 还包括主机任务 主机任务负责将总线上的所有帧头发送出去, 也就是说, 它会控制总线的定时和进度表 主机请求帧有标识符 60, 可以为主机节点所发行的诊断帧所用 [PROT 2.3.4] [DIAG] 主机任务负责将总线上所有的帧头发送出去, 也就是说, 它会控制总线的定时和进度表 [PROT 4.1] 从机节点内的每个帧都有一个独特的 16 位信息号码 在字节配置过程中, 这个号码会与受保护的标识符有关, 然后在与节点的常规通讯中进行应用 [DIAG 2.5.1] 节点诊断地址 诊断帧通过广播形式传送, 而 NAD 则指定有地址标注的从机节点 NAD 既是物理地址也是逻辑地址 [DIAG 2.3.2]

12 LIN LIN 规范包版本 年 9 月 23 日 ;P12 节点 广泛来说, 节点便是 ECU( 电子控制单元 ) 不过, 单个 ECU 可以与多个 LIN 机群连 接 ; 对后者来说, 节点 这个词汇用 总线接口 来表示, 更合适 节点功能文件 NCF 文件用于描绘 LIN 总线上的从机节点 该文件可用于系统定义 [NCL 1.1] 受保护的标识符标识符 (6 位 ) 与两个奇偶位组成 [PROT 2.1.3] 发布 每个信号 ( 或无条件帧 ) 都有一个配套的发布服务器 ; 与认购不同的是, 该节点是信息源 [PROT 2.1.4] [PROT 4.2] 请求主机节点将请求放在节点配置和诊断运输层的从机节点上 [DIAG 2.3.1] [DIAG 3.3.1] 保留帧保留帧有一个不可使用的标识符 :63(0X3f) [PROT 2.3.6] 响应 (1) LIN 帧包括帧头和响应 [PROT 2.1] 也叫做帧响应 (2) ISO 请求的回复信息被称为响应 [DIAG 2.3.1] [DIAG 3.3.1]. 它同时也被成为诊断响应 进度表进度表决定 LIN 总线上的通信状况 [PROT 3] [CLS 2.5] [API 2.4] 从机节点 从机响应帧 从机任务 节点只包含从机任务, 也就是说, 它并不包括主机任务 从机响应帧有标识符 61, 可以被从机节点所发布的诊断帧所使用 [PROT 2.3.4] [DIAG] 从机任务负责倾听所有总线上的帧头, 并做出相应地反映, 也就是说, 它既会发布一个帧响应, 也会认购 ( 或忽视 ) 一个帧响应 ( 或者忽略它 ) [PROT 4.2] 休眠模式机群中不发生任何通信行为 [PROT 5] 信号信号指得是 LIN 机群在使用载波帧时的一个被运输值 [PROT 1]

13 LIN LIN 规范包版本 年 9 月 23 日 ;P13 载波帧 零星帧 载运信号的帧应有一个范围在 0-59 的标识符 (0X3b) 无条件帧 零星帧以及事件触发帧都是载波帧 [PROT 2.1.3] 零星帧与无条件帧很相似, 是一个载波帧 不过, 如果发布服务器对其中一个信号进行了更新, 零星帧只能在自己的帧槽里面转移 [PROT2.3.3] 认购 认购是发布的反义词, 也就是接受信号 ( 或接受一个载波帧 ) [PROT 2.1.4] [PROT 4.2] 系统定义 创立 LIN 描述文件的过程 [NCL 1.1.2] 系统产生 机群中目标节点向 LIN 描述文件转变的过程 [NCL 1.1.1] 无条件帧 载波帧总是在其指定的帧槽里面发送 [PROT 2.3.1] 用户定义的帧标识符为 62 的帧 其目的或用途不是 LIN 技术规范的一部分 [PROT 2.3.5]

14 目录 LIN 规范包版本 年 9 月 23 日 ;P14 目录 规范包 1. 修订记录 1 2. LIN 范围 特性和可能性 工作流程概念 节点概念 操作概念 主机和从机 帧 数据运输 进度表 文件概述 历史和背景 与 LIN 1.3 的兼容性 LIN 1.3 和 LIN2.0 之间的差异 参考文献 9 3 LIN 术语表 10 目录 14 协议规范 1. 信号管理 信号类型 信号一致性 信号打包 2 2 帧传输 帧结构 间隔 同步字节 受保护的标识符 数据 校验和 帧槽 帧的型号 无条件帧 事件触发帧 零星帧 诊断帧 10

15 目录 LIN 规范包版本 年 9 月 23 日 ;P 用户定义帧 保留帧 3 时间表 3.1 帧槽 4 从任务行为模型 4.1 主机任务状态机 4.2 从任务状态机 间隔和同步检测器 帧处理器 5 网络管理 5.1 唤醒 5.2 进入休眠 5.3 电源管理 6 状态管理 6.1 概念 6.2 事件触发帧 6.3 向网络报告 6.4 Reporting within own node 7 附录 7.1 数值属性表 7.2 有效标志符表 7.3 效验和计算事例 7.4 该标准中使用的语法和数学符号 诊断和配置规范 1. 绪论 2. 节点配置 2.1 节点模型 2.2 通配符 2.3 PDU 结构 概述 NAD PCI SID RSID D1 to D5 2.4 LIN 产品确认 2.5 强制请求 分配帧标志符 通过标志符读取 2.6 Optional requests

16 目录 LIN 规范包版本 年 9 月 23 日 ;P Assign NAD( 分配 NAD) Conditional change NAD( 有条件变化的 NAD) 数据转储 诊断 基于信号的诊断 用户定义的诊断 诊断运输层 PDU 结构 被定义的要求 ISO 定时限制 程序表 15 4 参考文献 16 物理层规范 1. 振荡器偏差 2 2. 位定时要求和同步程序 位定时要求 同步程序 3 3. 总线驱动器 / 接收器 通用配置 物理接口的电压定义 信号规范 直流电路参数 交流电路参数 线特性 ESD/EMI 的符合条件 12 应用程序接口规格 1. 介绍 操作概念 系统产生 API 2 2. 内核 API 驱动器和机群管理 l_sys_init 信号交互 信号类型 标量信号读取 标量信号写入 字节数组读取 字节数组写入 6

17 目录 LIN 规范包版本 年 9 月 23 日 ;P 通知 l_flg_tst l_flg_clr 进度管理 l_sch_tick l_sch_set 接口管理 l_ifc_init l_ifc_connect l_ifc_disconnect l_ifc_goto_sleep l_ifc_wake_up l_ifc_ioctl l_ifc_rx l_ifc_tx l_ifc_aux l_ifc_read_status 用户提供的标注 节点配置 id_is_ready id_check_response id_assign_nad id_assign_frame_id id_read_by_id id_conditional_change_nad 诊断运输层 内部回调接口 id_put_raw id_get_raw id_raw_tx_status id_raw_rx_status 加工好的 API id_send_message id_receive_message id_tx_message id_rx_message 案例 LIN 内核 API 的使用 LIN 描述文件 25

18 目录 LIN 规范包版本 年 9 月 23 日 ;P17 节点能力语言规范 1. 介绍 即插即用流程 系统产生 系统定义 调试 3 2. 节能力文件定义 全球定义 节点能力语言版本号的定义 节点定义 一般定义 LIN 协议版本号的定义 LIN 产品识别 比特率 非网络参数 诊断定义 帧定义 帧的属性 信号的定义 信号编码类型的定义 状态管理 自由文本 9 3. 句法摘要 样本文件 11 配置语言规范 1. 介绍 2 2. LIN 描述文件的定义 全球定义 LIN 协议版本号的定义 LIN 语言版本号的定义 LIN 速度的定义 节点定义 参与的节点 节点的属性 节点结构定义 信号定义 标准信号 诊断信号 信号组 帧定义 7

19 目录 LIN 规范包版本 年 9 月 23 日 ;P 动力帧的定义 无条件帧 零星帧 事件触发帧 诊断帧 进度表的定义 附加信息 信号编码类型定义 信号再现的定义 句法摘要 16

20 LIN 协议规范版本 年 9 月 23 日 ;P21 LIN 协议规范 版本 2.0 本规范是在 ASIS 的基础上形成的, 不可以作为任何索赔的依据 LIN 协会 版权所有 未经授权的复印 演示 或对本文件其他方面的使用, 都是 违反法律和知识产权的 LIN 是一个注册商标. 本文件的任何分发都登记在案

21 信号管理 LIN 协议规范版本 年 9 月 23 日 ;P2 1. 信号管理 信号是在帧的数据场里面输送的 多个信号可以被打包成一个帧, 只要这些信号彼此之间不会相互重叠 每个信号都有自己的生成器, 即它总是由机群里面的同一个节点写入 0 1 或多个节点可以认购该信号 1.1 信号类型 信号有标量值和字节数组两种类型 标量值的字节有 1-16 个, 一个字节的标量信号被称为布尔信号 字节为 2-16 的标量信号被视为无符号 ( 无正负 ) 整数 除此之外的数据判读, 即偏移和校准, 均不在本范围内 一个字节数组是由 1-8 个字节组成的 对 LIN 规范来说, 任何对此类字节数组的判读均不在范围内 特别是当再现实体要比字节数组里的字节要大的时候, 这对字节顺序更加适用 1.2 信号一致性 标量信号的写入或读取必须是原子操作的 也就是说, 应用程序无法接受一个部分被更新的信号值 不过, 信号与信号之间或一个字节数组内的字节之间无需坚持一致性 1.3 信号打包 信号会最先通过 LSB 进行发送, 最后才是 MSB 对帧内部的标量信号包来说, 唯一的原则就是 : 标量 1 信号可以穿过一个字节的最大界限 字节数组内的每个字节应形成一个单帧字节 该单帧字节的首位是编号最小的数据字节 (2.1.4 章节 ) 注 1: 如果信号是按照字节排列在一起的, 或信号没有穿过字节界限, 那么信号的打包或解压行为在基于软件的字节内操作时更有效

22 帧的传送 LIN 协议规范版本 年 9 月 23 日 ;P3 2. 帧的转移 在 LIN 总线上进行传送的实体就是帧 帧的发送时间是每个字节发送时间的总和, 再加上响应间隙时间和字节间隙时间 字节间隙时间是前一个字节终止位的末端到下一个字节起始位的末端之间的时间 这两个字节不能是负数 帧空间是从帧的结尾直到下一帧的开始, 内部帧空间也不能为为负 2.1 帧结构 表 2.1 就是一个帧的结构 该帧是由一个间隔和 4-8 个字节场组成, 这些字节场以数字标注 帧槽 帧 响应间隙 帧间隙时间 帧头 响应 间隔同步受保护的标识符数据 1 数据 N 校验和 图 2.1: LIN 的帧结构 2 每个字节场是作为字节串行被输送的, 如图 2.2 所示 最先发送的数据是 LSB, 最后才是 MSB 起始位是一个值为 0 的比特 ( 显性 ), 而终止位也是一个值为 1 的比特 ( 隐性 ) 字节场 起始位 LSB (bit 0) MSB (bit 7) 终止位 图 2.2: 字节场的结构 注 2: 不包括间隔字节场 见 章节

23 帧的传送 LIN 协议规范版本 年 9 月 23 日 ;P 间隔间隔符号用来标识一个新帧的起始点 这是唯一一个不必符合表 2.2 的场 间隔总是由主机任务 ( 位于主机节点 ) 产生, 是一个至少有 13 个比特的显性值, 包括起始位 间隔定界符等等, 见图 2.3 间隔定界符的长度应至少为一个标定比特 从机节点需使用标定比特数为 11 的间隔检测阈 3 起始位 间隔定界符 图 2.3: 分隔场 同步字节 同步场是一个数据值为 0 x 55 的字节场, 见图 2.4 起始位 终止位 图 2.4: 同步字节场从机任务要求能够探测到间隔 / 同步符号序列, 即使这只是个字节场 ( 假设字节场彼此之间是分离的 4 ) 如果发现了同步场, 间隔 / 同步符号序列的探测工作将会终止正在进行的帧转移 5, 而启动新帧的处理工作 受保护的标识符受保护的标识符包括 2 个子场 : 标识符以及标识符的奇偶校验 0-5 比特是标识符,6-7 比特是奇偶校验 注 3: 有时钟偏差的从机节点比 FTOL_SYNCH 要好 见 LIN 物理层 表 1.2( 典型的晶体或磁共鸣器 ) 可以使用 9.5 比特的间隔检测阈注 4: 用于探测间隔 / 同步序列的一个特性, 即使间隔部分被数据字节叠加 该特性虽然很需要, 但并不是必需的 注 5:Reponse_error 和响应错误需在节点处理帧的过程进行设置, 见第 5 章节

24 帧的传送 LIN 协议规范版本 年 9 月 23 日 ;P5 标识符标识符 (ID) 有 6 个比特, 其值的范围是 0-63 标识符可分为 4 类 : 载波帧的值, 其范围是 0-59(0 x 3b) 60 (0x3c) 和 61 (0x3d) 可用来载运诊断数据 62(0x3e) 专门用于用户定义的扩展部分 62(0x3f) 专门用于以后协议的改进 奇偶校验奇偶校验是在标识符比特的基础上进行计算的, 见方程 (1) 和 (2): P0 = ID0 ID1 ID2 ID4 (1) P1 = (ID1 ID3 ID4 ID5) (2) 制图比特 ( 从 ID0 到 ID5 P0 和 P1) 的制图, 见图 2.5 起始位 ID0 ID1 ID2 ID3 ID4 ID5 P0 P1 终止位图 2.5: 受保护标识符字节场的标识符和奇偶校验 ( 图解 ) 数据帧可以携带 1-8 字节的数据 对拥有指定标识符的帧来说, 其所包含的字节的数量应与发布服务器和所有认购器保持一致 数据比特是在比特场里面进行输送的, 见图 2.2 对那些超过 1 个字节的数据实体来说,LSB 被包含在第一个被发送的字节里面, 而实体 MSB 则被包含在最后一个被发送的字节里面 ( 小头 ) 数据场用数据 1 数据 2 数据 8 标注, 见图 2.6 数据 1 数据 2 数据 3 数据 4 数据 5 数据 6 数据 7 数据 8 图 2.6:8 个数据字节帧里面的数据字节编号.

25 帧的传送 LIN 协议规范版本 年 9 月 23 日 ;P 校验和帧的最后一个场是校验和 校验和场里面包含取向的 8 个比特和, 效验和包含取反的带有进位的 8 位和, 该和的计算是在所有的数据字节或是所有数据字节和保护标志符之上的 仅根据数据字节进行计算的校验和被称为传统的校验和, 它可以用于 LIN1.3 从机通讯 根据数据字节和标识符进行计算的校验和被称为增强校验和, 可以与 LIN2.0 从机进行通讯, 见图 2.2 主机节点可以控制进行传统或增强校验和的使用, 并由帧标识符决定 ; 传统校验和可以与 LIN1.3 从机进行通讯 ; 增强校验和可以与 LIN2.0 从机进行通讯, 值为 60 (0x3c) 到 63 (0x3f) 的标识符经常使用传统校验和 2.2 帧槽 每个预定的帧会在总线上分配一个槽 槽的间隙时间必须足够长, 以便能够在最糟的情况装载帧 输送一个帧的标定值必须与发送的比特数量相配, 也就是说无响应空间 无字节空间且无帧间隙空间 因此 : THeader_Nominal = 34 * TBit (3) TResponse_Nominal = 10 * (NData + 1) * TBit (4) TFrame_Nominal = THeader_Nominal + TResponse_Nominal (5) 方程中,Tbit 是输送一个比特的标定值, 见 LIN 物理层的定义 字节之间最大的空间是标定输送时间的 40% 该时间段被帧头 ( 主机任何 ) 和帧响应 ( 从机帧 ) 隔开, 于是产生 : THeader_Maximum = 1.4 * THeader_Nominal (6) TResponse_Maximum = 1.4 * TResponse_Nominal (7) TFrame_Maximum = THeader_Maximum + TResponse_Maximum (8) 每个帧槽应大于或等于 TFrame_Maximum 注 6: 带有进位的 8 位和等于所有值的和 ; 如果每次相加总和大于或等于 256, 那么该总和需减去 255( 这并不等于模 -255 或模 -256)

26 帧的传送 LIN 协议规范版本 年 9 月 23 日 ;P7 注 : 所有认购节点能够接收零耗量 (zero-overhead) 的帧, 即长度为 TFrame_Nominal THeader_Maximum 规定了间隔符号的最大长度 2.3 帧的类型 帧的类型提到了那些能够有效输送帧的前提条件 某些类型的帧只能用于特定的用途, 它以下章节中被定义 注意, 并不是所有的节点或机群都要支持所有本章节指定的帧类型 帧中所有未被使用 / 定义的比特均为隐性比特 无条件帧无条件帧总是携带信号, 其标识符值的范围为 0-59(0x3b) 无论什么时候, 只要当分配在无条件帧上的帧槽被 ( 主机任务 ) 处理了, 无条件帧的帧头才可以被输送 无条件帧 ( 从机任务 ) 的发布器应向帧头提供响应 无条件帧的所有认购器应接收帧, 并使它可以被应用 ( 前提是没有发现任何错误 ) 图 2.7 便是 3 个无条件帧的序列从机 1 主机从机 2 ID=0X30 主机向从机 1 要求发送帧 ID=0 X 31 主机向两个从机发送帧 ID=0 x 32 从机 2 向从机 1 发送帧 图 2.7: 三个无条件帧的转移 帧的转移活动通常是由主机启动的 它由一个单发布服务器和一个或多个认 购器组成 事件触发帧 事件触发帧的目的是增加 LIN 机群的可靠性, 当使用很少发生的事件来访问多个从机节点时, 而这无须通过分配过多的总线带宽 事件触发帧会载运一个或多个无条件帧的数据场, 且事件触发帧的标识符应在 0-59 (0x3b) 的范围内 被载运的无条件帧的第一个数据字节应与其受保护的标识符的字节一样 也就是说, 被载运的信号最多只能有 7 个字节

27 帧的传送 LIN 协议规范版本 年 9 月 23 日 ;P8 如果多个无条件帧与一个事件触发帧 ( 这属正常情况 ) 发生关联, 那么它们的长度应该保持一致, 且 应使用相同的校验和模型 (LIN1.3 和 LIN2.0 帧的混合体是不被接受的 ); 更甚至, 它们应该被不同的 从机任务发布 当分配给事件触发帧的帧槽被处理时, 事件触发帧的帧头就可以被正常输送 ( 输送条件见下文 ) 一 旦帧所携带的其中一个信号被更新了, 那么与无条件帧相关联的发布服务器只能向该帧帧头响应 如果无任何从机向帧头响应, 那么帧槽的余下部分将沉寂, 而帧头将会被忽略掉 如果有多个从机任务向同一个帧槽内的帧头响应, 那么会发生冲突, 主机在再次请求时间触发帧之前 必须通过请求所有相关的无条件帧来解决冲突 如果其中一个碰撞从机节点在撤回过程中不打乱输送, 那么主机将不会检测该节点 从机需重新尝试 发送响应, 直到成功为止, 否则该响应将会丢失 ( 如果校验和有效的话 ) 所以事件触发帧的认购器需接收帧, 并像所有关联的无条件帧已经被接收了 一样, 对它的数据进行应用 图 2.8 是事件触发帧序列的一个例子 : 从机 1 主机从机 2 ID=0X10 因事件触发帧请求引发的碰撞 ID=0 X 12 优先次序最高的关联帧的请求 ID=0 x 32 优先次序最低的关联帧的请求 ID=0 X 10 从机没有发送任何新响应 ID=0 X 10 从机有新的响应 图 2.8:ID 为 0 X 10 的帧是与无条件帧 0 X 11 和 0 X12 相关的事件触发帧 在每 5 个帧槽之间, 其他帧可以根据进度表的定义进行输送

28 帧的传送 LIN 协议规范版本 年 9 月 23 日 ;P9 例子 : 事件触发帧的一个典型应用是监测四门中控锁系统的门把 通过使用事件触发帧来检测所有 4 个门, 系统就可以显示较好的响应次数, 同时也可以使总线负担最小化 如果有多个乘客同时按下同一个门把, 那么在这种情况下, 系统不会错过任何乘客的按下指令, 而所花时间可能会长一点 不过上述情况的发生概率很低 注 : 如果将增强校验和用于事件触发帧, 那么在校验和的计算过程中, 就会用到帧头内被输送的受保护标 识符 零星帧 零星帧的用途是将一些动态行为混入确定的实时进度表, 且同时不会失去进度表其他部分的决定作用 零星帧经常携带的信号以及它们的标识符的范围值是 0-59(0x3b) 当主机任务获知, 帧中所载运的信号已经被更新时, 零星帧的帧头就可以被发送了, 不过只能在与之相关的帧槽里面进行发送 零星帧的发布服务器应向帧头产生响应 ( 如果校验和有效的话 ) 所以零星帧的认购器应接收帧并使用它的数据 7 如果多个零星帧与同个帧槽发生关联 ( 这属正常情况 ), 优先次序最高的零星帧 ( 当信号被更新时 ) 应在该帧槽里面输送 如果与帧槽关联的零星帧无任何更新信号, 那么该帧槽将不发出任何声音 如果主机任务知道了载运的信号已经被更新, 那么该请求会将主机节点变成零星帧的常规发布服务器 即使事件触发帧里面发生了碰撞, 主机任务也会意识到相关无条件帧的存在 图 2.9 是零星帧序列的一个例子 注 7: 见 LIN 配置语言规范 的第 章节

29 帧的传送 LIN 协议规范版本 年 9 月 23 日 ;P10 主机 从机 主机无任何帧可发送 帧 0x22 的内部 有一个信号被 关联帧 0x22 有一个信号被更新, 且已经被 更新 ID=0 X 22 主机发送出去 图 2.9: 正常情况下, 零星帧槽是空的 上图第二个槽内的一个关联帧 (0x22) 已经被更新 根据进度 表的进程, 其他帧可以在帧槽之间进行转移, 诊断帧 诊断帧通常携带诊断或配置数据, 且它们通常包含 8 个数据字节 标识符值为 60 (0x3c) 的帧被称为主 机请求帧, 值为 61 (0x3d) 的帧被称为从机响应帧 数据的判读见 LIN 诊断和配置规范 在产生诊断帧的帧头之前, 主机任务会询问其诊断模块是否需要发送帧或总线是否处于无声状态 根 据诊断模块, 从机任务可以发布并认购响应 用户定义的帧 用户定义的帧可以载运任何形式的信息 它们的标识符是 62 (0x3e) 只有当分配给帧的帧槽被处理时, 其帧头才可以被输送 保留帧保留帧不可用于 LIN2.0 机群 它门的标识符是 63(0x3f)

30 进度表 LIN 协议规范版本 年 9 月 23 日 ;P11 3 进度表 LIN 协议的一个重要属性便是进度表的使用, 进度表可以确保总线永远都不会被超载 它们同时也 是确保信号周期性的一个重要因素 主机任务可以启动 LIN 机群中的所有传送行为, 这也使确定性的行为成为了可能 主机有责任确保 操作模式内部的所有相关帧有足够的时间进行传送 3.1 槽的分配 这部分确定了进度表应该遵循的所有要求 大多数要求的基本原理是 : 提供一个无冲突标准或其 他的能够简单有效地执行 LIN 协议的准则 面 与零星帧或事件触发帧相关的无条件帧不可以被分配在与零星帧或事件触发帧一样的进度表里 帧槽必须有一个足够长的间隙时间, 让主机任务和 TFrame_Maximum 有时间完成输送 Frame_Maximum 的定义见方程 (8) 正如方程下面的注解所标注的那样, 如果有发布服务器的支持, TFrame_Maximum 的值可能会有所减少

31 任务行为模式 LIN 协议规范版本 年 9 月 23 日 ;P12 4 任务行为模式 本章定义了 LIN 节点的行为模式 该行为模式是在主机任务 / 从机任务的概念上建立起来的 没有 必要执行拥有三个独立状态机的主机节点或拥有两个独立状态机的从机节点, 因为它们非常有可能会结合成一个节块 4.1 主机任务状态机 主机任务负责生成正确的帧头, 根据进度表决定哪个帧应该被发送, 并维持帧之间的正确定时 主机 任务状态机如图 4.1 所示 : 等待下一个帧槽的到来 输送条件尚未被满足 发送间隔场 无条件帧或输送条件已经被满足 发送同步场 发送受保护的标识符 图 4.1: 主机任务完整的状态机上述状态机并没有描绘如果对标识符进行选择 注 : 由于没有要求对主机任务状态机内部的错误进行检测 因此, 内部可能会发生错误, 比如, 在发送隐性比特的时候, 探测到的显性比特将会使从机忽视帧头 4.2 从机任务状态机 当从机任务充当发布服务器的角色的时候, 它才负责发送帧响应 ; 且当从机任务是认购器时, 它才负责接收帧响应 从机任务有两个状态机模型 间隔和同步探测器 帧处理器 间隔和同步探测器从机任务要求能够与帧的受保护标识符场在一开始的时候保持同步 也就是说, 它必须能够正确的收到受保护标志符场 在整个帧里面, 它必须在要求的比特率偏差内保持同步, 见 LIN 物理层规范 第一部分的要求 为了达到这个目的, 每个帧在开始的时候, 都会伴随着间隔场和同步字节场序列 这个序列在整个 LIN 通讯过程中是唯一的, 且能够提供足够的信息, 让从机任务探测到一个新帧的开始, 且能够在标识符场一开始的时候便保持同步

32 任务行为模式 LIN 协议规范版本 年 9 月 23 日 ;P 帧处理器帧处理过程由两个状态组成 : 休眠状态和激活状态 激活状态包含 5 个子状态, 只要间隔和同步程序 (BreakAndSynch) 被激活, 系统就会进入接收标识符 (Receive Identifier) 的激活子状态 这表明, 通过探测到一个新的间隔和同步序列, 帧的处理程序将会被终止 帧的处理器状态机如图 4.2 所示 休眠状态 启动 BreakAndSynch( 间隔和同步程序 ) 间隔和同步程序启动 / 错误激活接收受保护符未知的 id+parity 或 Tx/Rx 条件尚未被满足 id+partiy Rx id+partiy Tx 发送数据字节 Readback Sent/Error( 发送错误 更多 接收数据字节 更多最后一个被输送的数据总是 / 如果 Readback=sent( 发送 ) 发送校验和字节成功, 否则发送错误帧错误最后一个被收到的数据 接收校验和字节 总是 / 如果校验和有效, 那么帧和设置成功, 否则错误 图 4.2: 帧处理器状态机 错误和成功的定义请参考第 6 章节的状态管理描述

33 任务行为模式 LIN 协议规范版本 年 9 月 23 日 ;P14 如果回读核对 (read back) 和发送的数据之间不匹配, 那么在包含了这个不匹配问题字节场完成之前, 不匹配问题应该被探测到 一旦探测到该问题时, 发送行为将立即终止

34 网络管理 LIN 协议规范版本 年 9 月 23 日 ;P15 5. 网络管理 LIN 机群内部的网络管理仅仅是指机群的唤醒和休眠 其他网络管理的特征, 举例来说, 有配置检测和 跛行回家 (limp-home) 管理, 被留给应用程序来处理 5.1 唤醒任何处于休眠 LIN 机群状态的节点可以请求唤醒程序 8 通过让总线处于显性状态 250μs 至 5ms, 便可以产生唤醒请求 每个从机节点 ( 与电源相连 ) 应可以探测到唤醒请求 ( 主脉冲不长于 150μs9), 且会在 100ms 内随时等候总线命令 该 100ms 是从主脉冲的终止边缘开始计算的 主机会被唤醒, 且当从机节点准备好时 10, 主机节点才开始发送帧头, 开始探测造成唤醒请求发布的缘由 如果主机未在收到唤醒请求的 150ms 内发送帧头, 那么发送请求的节点可以尝试发送一个新的唤醒请求 三次请求 ( 均失败 ) 后, 节点应该等待至少 1.5 秒后才能发送第四个唤醒请求 5.2 进入休眠状态处于激活机群的所有从机节点会通过发送一个诊断主机请求帧 ( 帧的标识符 =0x3c) 而被迫进入休眠模式 11 该请求帧的第一个数据字节等于 0 12 这种特殊的诊断帧的使用, 被称之为 进入休眠命令 如果 LIN 总线处于未激活状态已经超过 4 秒了, 从机节点也会自动进入休眠模式 注 8: 主机可以发送一个间隔符号, 比如, 发送一个普通的帧头, 因为该间隔将充当唤醒脉冲的角色 注 9:150μs 的检测阈若结合一个 250μs 的脉冲生成, 便可产生一个探测余量 该探测余量足够大, 可以容纳未被校准的从机节点 注 10:( 从唤醒开始 ) 需花费 100ms 的时间, 除非主机有附加信息, 比如, 造成唤醒的原因只是因为机群里面的其中一个从机 注 11: 休眠状态仅覆盖机群 节点内的应用程序仍然是激活的 注 12: 正常情况下, 第一个数据字节被判读为节点地址, 即 NAD; 不允许地址为零 注 13: 未被激活的定义如下 : 隐性位和显性位之间的比特值无任何转移

35 网络管理 LIN 协议规范版本 年 9 月 23 日 ;P 电源管理 图 5.1 的状态图向我们展示了 LIN 节电源管理的行为模型 本文件中指定的 LIN 协议行为仅适用于操 做状态 关闭电源 关闭电源 关闭电源 关闭电源 打开电源 待定 唤醒 初始化 (<100ms) 运算 进入休眠 图 5.1:LIN 节点的能源管理

36 状态管理 LIN 协议规范版本 年 9 月 23 日 ;P17 6. 状态管理 状态管理的目的是在操作过程中检测错误 该目的有两层含义 : 提供轻松替换错误单元的方法 ; 发生错误时, 为节点提供进入 limp-home 模式的方法 除了本章节中所陈述的状态管理功能, 节点还可以提供更为详细的错误信息, 虽然根据本规范, 该功能尚未被标准化 6.1 概念 中心机群的状态管理程序是在主机节点内完成的 主机节点可以监控每个节点发回的状态报告, 将报 告进行过滤 / 整合, 然后总结是否有一个或多个节点有错误 每个节点的应用程序也可以监测与 LIN 总线的交互 如果适用的话, 该程序可以用于 limp-home 模式的 进入 6.2 事件触发帧 第 章节的事件触发帧可以允许碰撞现象 因此, 总线错误, 如帧错误, 不会影响到状态位 ( 这既 不是一个成功的转移, 也不是一个错误响应 ) 当然, 如果在关联的无条件帧内部发生错误, 它将被 视为一个错误 6.3 向网络汇报 (Reporting to the network) 向网络汇报这个行为是为主机节点的处理程序设置的, 它同时还可以监测机群 只有从机节点才会被 要求向网络汇报它们的状态 每个从机应向其中一个被输送帧的主机节点发送状态位信号, 即 Response_Error 每当被节点接收到 的帧或节点输送的帧的响应场存在错误的时候,Response_Error 将会被设置 每次输送之后, 系统都 会清理 Response_Error 在这个单比特的基础上, 主机节点总结如下 : Response_Error = False 节点可以正确操作 Response_Error = True 节点有间歇出现的问题节点没有响应该节点 ( 或总线或主机 ) 有严重的问题

37 状态管理 LIN 协议规范版本 年 9 月 23 日 ;P18 由于主机节点也可以根据 帧尚未被发送 这个事实获得信息, 因此 Response_Error 这个状态位无法被放在事件触发帧里面 除了这个限制外, 任何有节点输送的帧都可以用来携带 Response_Error 状态位 主机节点应用程序有责任整合并过滤每个独立的状态报告, 并将从不同从机节点收到的报告进行整合 14 注 : Response_Error 对执行帧的收发器进行性能符合测试 ( 协议引擎 ), 而不受应用程序和信号交互层的束缚 如果需要的话, 从机节点可以提供较多的状态信息, 但单个 Response_Error 位应时刻都存在 6.4 各自节点内部的报告 本章节适用于基于软件的节点, 不过我们推荐, 基于 ASIC 的状态机的操作应使用相同的概念 在各自的节点里面, 节点可以提供两个状态位用于状态管理 ; 它们是 error_in_response 和 successful_transfer 各自的节点应用也能够收到节点认可的最后一个帧的受保护标识符 每当节点收到的帧或节点发送的帧, 其响应场里有错误时, 就会设置 error_in_response, 也就是 说, 在同样的情况下, 也会设置 Response_Error 信号 当节点已经成功地将帧转移后, 也就是说, 帧要么已经被接收到, 要么已经被发送出去, 就会置 successful_transfer 每次读取后, 这两个状态位就被清理掉 这两个状态位可以根据表 6.1 进行判读 表 6.1: 节点的内部错误判读 响应中的错误 成功的转移 判读 0 0 无通讯 1 1 间歇通讯 ( 有些被成功地转移, 有些则转移失败 ) 0 1 完全通讯 1 0 错误的通讯 ( 只有失败的转移 ) 注 14: 比如, 如果有多个节点没有响应, 主机可能会总结它本身, 而不是所有从机是这个错误的节点

38 状态管理 LIN 协议规范版本 年 9 月 23 日 ;P19 节点应用程序有责任整合和过滤每个独立的状态报告 注 : 各自节点内部的报告程序, 在 LIN API 规范 里面都是标准化的, 可以自动生成应用程序 这些应用程序能够对完整的 LIN 驱动器模块自动地执行性能符合测试, 包括单个信号交互层

39 附件 LIN 协议规范版本 年 9 月 23 日 ;P20 7 附件 7.1 数字属性表 表 7.1: 数字属性表的定义 属性 最小 最大 单位 参考 备注 标量信号尺寸 1 16 BIT 第 1.1 章节 字节数组尺寸 1 8 BYTE 第 1.1 章节 间隔长度 13 Tbit 第 章节 间隔检测阈 Tbit 第 章节 详见脚注 3 唤醒请求期限 ms 第 5.1 章节 从机初始化时间 100 ms 第 5.1 章节 每两次唤醒请求之间的沉积时间 150 ms 第 5.1 章节 每三次唤醒后的沉寂时间 1.5 ms 第 5.1 章节 7.2 有效标识符表 ID[0.5] Dec 十六位制 P0 = ID0 ID1 ID2 ID4 P1 = ID1 ID3 ID4 ID5 ID 场 ID 场 Dec 十六位制 0 0 x x x x C1 2 0 x x x x x x C4 5 0 x x x x x x x x x x x 0A x CA 11 0 x 0B x 8B 12 0 x 0C x 4C 13 0 x 0D x 0D 14 0 x 0E x 8E 15 0 x 0F x CF 16 0 x x x x x x x x D x x x x 55 联系方式 :H.-Chr. v. d. Wense, 摩托罗拉有限公司,Schatzbogen 7,D 慕尼黑, 德国 电话 : +49 (89) H.Wense@Motorola.com

40 附件 LIN 协议规范版本 年 9 月 23 日 ;P21 ID[0.5] Dec 十六位制 P0 = ID0 ID1 ID2 ID4 P1 = ID1 ID3 ID4 ID5 ID 场 ID 场 Dec 十六位制 22 0 x x D x x x x D x x x 1A x 1A 27 0 x 1B x 5B 28 0 x 1C x 9C 29 0 x 1D x DD 30 0 x 1E x 5E 31 0 x 1F x 1F 32 0 x x x x x x E x x A x x x x x x A x x E x x A x x E x 2A x 6A 43 0 x 2B x 2B 44 0 x 2C x EC 45 0 x 2D x AD 46 0 x 2E x 2E 47 0 x 2F x 6F 48 0 x x F x x B x x x x x x B x X F x x x x x x x x x 3A x BA 59 0 x 3B x FB 联系方式 :H.-Chr. v. d. Wense, 摩托罗拉有限公司,Schatzbogen 7,D 慕尼黑, 德国 电话 : +49 (89) H.Wense@Motorola.com

41 附件 LIN 协议规范版本 年 9 月 23 日 ;P22 ID[0.5] P0 = P1 = ID1 ID3 ID 场 ID 场 Dec 十六位制 ID0 ID1 ID2 ID4 ID4 ID Dec 十六位制 60 a 0 x 3C x 3C 61 b 0 x 3D x 7D 62 c 0 x 3E x FE 63 d 0 x 3F x BF a. 标识符 60(0x3C) 是为主机请求命令帧预留的 ( 见第 章节 ) b. 标识符 61(0x3D) 是为从机响应命令帧预留的 ( 见第 章节 ) c. 标识符 62(0x3E) 是为用户定义扩展帧预留的 ( 见第 章节 ) d. 标识符 63(0x3F) 是为以后 LIN 扩展模板预留的 ( 见第 章节 ) 7.3 校验和计算的例子 下文是 4 字节的校验和计算方法 如果字节是 4 数据字节或是受保护的标识符, 且 3 个数据字节并不是很 重要的话, 那么计算方法是一样的 Data=0x4A, 0x55, 0x93, 0xE5 十六位制 CY D7 D6 D5 D4 D3 D2 D1 D0 0x 4A 0x4A X55= ( 添加 Carry) 0x9F 0X9F X93= ( 添加 Carry) 0X132 0X XE5= ( 添加 Carry) 0X118 0X 取反 0XE x19+0xE6 = 0XFF 总和是 0x19 取反后, 产生的最后结果是 : 校验和 =0xE6 接收节点能够利用同样的加法原理, 很容易地对接收到的帧的一致性进行检查 当收到的校验和 (0XE6) 被加到 (0x19) 上, 得到的和即为 0xFF

42 附件 LIN 协议规范版本 年 9 月 23 日 ;P 本标准中使用的句法和数学符号 序列图 为了使本标准的含义形象化, 我们在适当地时候使用了序列图 图表中的句法详见图 7.1 中的例子 从机 1 主机从机 2 ID=0X22 主机发布的以及从机 1 和从机 2 接收的帧 ID=0 X 17 无任何主机 / 从机向帧头响应 ID=0 x 10 从机 2 向帧响应, 即帧被发表, 且被主机认购 沉寂的帧槽 ( 主机并未发送报文 ) 图 7.1: 帧的序列图 灰色部分代表了帧槽 ( 帧槽之间有间隔, 目的是使制图更加清爽 ) 虚线箭头代 表报文, 实心箭头代表响应 数学符号下文是本标准中包含的数学符号 : f S 属于 如果 F 被包含在 S 组里面, 则是真实的 a b 异或, 如果 a 和 b 里面有一个是真的, 则是真实的 a 非 如果 a 是错的, 则是真实的

43 LIN 诊断和配置规范版本 年 9 月 23 日 ;P1 LIN 诊断和配置规范 版本 2.0 本规范是在 ASIS 的基础上形成的, 不可以作为任何索赔的依据 LIN 协会 版权所有 未经授权的复印 演示 或对本文件其他方面的使用, 都是违反 法律和知识产权的 LIN 是一个注册商标 本文件的任何分发都登记在案

44 介绍 LIN 诊断和配置规范版本 年 9 月 23 日 ;P2 1. 介绍 LIN 诊断和配置标准 定义了一个节点是如何被配置的 ( 这对所有节点来说, 都是强制实行的 ), 并提出了 3 条用于执行诊断数据集的备选方法 ( 所有方法都可以选择执行的 ) LIN 协议会输送诊断和配置数据, 具体见 LIN 协议规范 用于 C 编程语言的标准化 API, 也在 LIN API 规范 中被详细叙述

45 节点配置 LIN 诊断和配置规范版本 年 9 月 23 日 ;P3 2. 节点配置 LIN 节点的配置可以用来设置一个机群内部的 LIN 从机节点 这对一个不具有离架节点的机群来说, 它可以使机群内部的从机节点避免相互间的冲突 通过拥有大型的地址空间, 就可以完成配置 该间隙包括一个帧的信息标识符, 一个从机节点的 LIN 产品 ID, 以及一个从机节点的初始 NAD 通过对这些数字的使用, 就可以让所有在机群内部被输送的帧, 具有一个独特的标识符 对 LIN 节点来说, 支持节点配置是一个强执行命令 2.1 节点模型 一个从机节点的记忆, 可以表述如图 2.1: 事件产生 ( 非标准化的 ) 初始 NAD NAD RAM( 或 NVRAM) ROM 信息 ID 标识符 有效 信息 ID 标识符 有效 LIN 产品 ID 供给者功能变量 信息 ID 图 2.1: 从机节点的记忆模型 标识有效 从机节点有一个固定的 LIN 产品 ID, 见第 2.4 章节 ; 所有帧都有一个信息标识符 1 重新设置后, 从机节点应处于下列状态中 : 它有一个 NAD 单元, 与动态决定的实体值 ( 即 intance value, 它是初始 NAD) 一致 该实体值的第 2 一个实体是 1, 第二个是 2, 如此类推 至于确定实体号码的方法并不属于 LIN 标准的一部分 它会对所有受保护的标识符打上标记, 视为无效 标识符为 0x3c 或 0x3c 以上的帧有固定的 ( 有效 ) 标识符, 且无需具备任何信息标识符 注 1: 如果在关闭电源的时候将配置保存在 NVRAM 里面, 那么当电源打开时, 系统不能被认为是复位 注 2: 有一种可能是利用跳线对实体进行配置

46 节点配置 LIN 诊断和配置规范版本 年 9 月 23 日 ;P4 2.2 通配符 为了能够记录一些未指明的信息, 表 2.1 内的通配符可以用于节点配置请求 : 表 2.1: 在所有请求中都可以使用的通配符 属性 通配符值 NAD 127 供给者 ID 0 X 7FFF 功能 ID 0 X FFFF 信息 ID 0 X FFFF 供应商 ID 通配符 功能 ID 通配符以及信息 ID 通配符的实施是可以随意选择的 2.3 PDU 结构 本章节的信息是章节 所提供的信息的一个子集 对 LIN 节点来说, 子集是强制执行的, 而第 章的扩展集是可选择的 在 LIN 诊断帧内部输送的单元被称为 PDU( 分组数据单元 ) 用于节点配置的 PDU 是一个完整的信息 客户机程序 (ISO: 检测器,LIN: 主机 ) 发布的信息被称为请求 ; 服务器 (ISO: 主机,LIN: 从机 ) 发布的信息, 被称为响应 LIN 机群不使用流量控制 ( 其定义见 ISO[1]) 如果主干总线(back-bone bus) 测试仪器需要流量控制 PDUs, 那么这些 PDUs 必须由主机节点生成 概述为了简化 ISO 诊断帧 [1] 和 LIN 诊断帧之间的转化, 我们定义了一个非常相似的结构, 该结构可以支持 PDU 类型, 见图 2.2 请求 NA PC SI D1 D2 D3 D4 D5 PCI-type=SF D I D 响应 NA PC RS D1 D2 D3 D4 D5 PCI-type=SF D I ID 图 2.2: 由 LIN 配置支持的 PDUs 左边的字节 (NAD) 是第一个被发送的字节, 右边的字节是最后一 个被发送的字节 (D5)

47 节点配置 LIN 诊断和配置规范版本 年 9 月 23 日 ;P5 请求通常是在主机请求帧中发送的, 而响应则是在从机响应帧内部发送的 PDUs 内部每个字节的定义详见下面几个章节 NAD NAD 是从机节点的地址 发送请求的时候, 会给从机节点标注地址, 也就是说, 只有从机节点才有地址 NAD 同时也可以指是响应的来源 NAD 值的范围是 以及 是为其它用途预留的 0 是为进入休眠命令预留的, 见 LIN 协议规范 1-126(0x7E) 127(0x7F) 128(0x80)-255(0xFF) 诊断从机节点的地址 为无线广播预留的 自由使用 帧不会被判读为一个诊断帧 见第 3.2 章节 注意 : 物理节点和逻辑节点之间有一个一对一映射关系, 它是使用 NAD 进行地址标注的 PCI PCI( 协议控制信息 ) 包含运输层的流量控制信息 对节点配置来说,PCI 的字节判读是存在的, 见表 2.2 表 2.2: 用于 PDUs 配置的 PCI 字节结构 类型 PCI 类型 附加信息 B7 B6 B5 B4 B3 B2 B1 B0 SF 长度 PCI 类型的单帧 (SF) 表示备输送的信息符合唯一 PDU, 也就是说, 它最多包含了 5 个数据字节 长度等于所使用的数据字节的数量再加上 1( 这只针对 SID 或 RSID) SID 服务标识符 (SID) 具体规定了从机节点应该执行的请求 该从机节点有地址标注 0x b0 到 0x b4 是用于配置的 SID 的编号应该与 ISO 一致, 并将节点的配置放置到某个区域 该区域见 机动车生产商的定义 注 3: 根据 LIN1.2 标准的规定, 第一个字节范围在 128(0x80)-255(0 x ff) 的诊断帧, 可以自由使用

48 节点配置 LIN 诊断和配置规范版本 年 9 月 23 日 ;P RSID 响应服务标识符 (RSID) 具体规定了响应的内容 用于正响应的 RSID 一般都是 SID+0x D1 到 D5 数据字节 ( 每个字节配置 PDU 有 5 个数据字节 ) 的解释取决于 SID 或 RSID 如果一个 PDU 无法完全被填满, 剩余的字节应该用 1 来填满, 也就是说, 它们的值应该是 255(0xff) 这是非常有必要的, 因为一个诊断帧, 其长度通常是 8 个字节 2.4 LIN 产品 ID 每个 LIN 部件都有一个独特的号码, 见表 2.3: 表 2.3:LIN 产品 ID D1 D2 D3 D4 D5 供给者 ID LSB 供给者 ID MSB 功能 ID LSB 功能 ID MSB 变量 ID 通过 LIN 协会, 每个供给者都有一个供给者 ID 它是一个 16 位的值, 最重要的位, 其值为 0 4 通过每个供给商都会分配一个功能 ID 如果两个产品, 其功能不一样, 也就是说,LIN 通讯或物理世 界交互, 那么它们的功能 ID 应该会不一样 不过, 对那些功能绝对一样的产品来说, 其功能 ID 是不会 变的 最后, 每当产品变化是, 其变量 ID 也会发生变化的 不过, 对这些产品的要求是它们的功能不 能被改变 将 LIN 产品 ID 纳入 LIN 节点内部, 是强制性命令, 必须要执行的 2.5 强制要求 本章节列出的请求都需得到所有 LIN 从机节点的支持 帧标示符分配 帧 id 分配 (assign frame id) 用于给帧设置一个有效的受保护标示符, 该帧通过他的信息标志符指 定, 分配帧 id 的结构见表 2.4 需要值的注意的是, 请求会提供一个受保护的标示符, 也就是说, 标识符和它的奇偶校验 进一 步说, 标识符为 60(0x3c) 以及以上的帧无法被改变 ( 包括诊断帧 用户定义的帧以及保留帧 ) 注 4: 将大多数重要的位设置为 1, 这是为以后扩展的编号系统预留的

49 节点配置 LIN 诊断和配置规范版本 年 9 月 23 日 ;P7 表 2.4: 分配帧 id 请求 NAD PCI SID D1 D2 D3 D4 D5 NAD 0x06 0xb1 供给者 ID LSB 供给者 ID MSB 信息 ID LSB 信息 ID MSB 受保护的 ID 只有当 NAD 和供给者 ID 匹配的时候, 才会发送响应 如果成功了, 那么表 2.5 内的信息就会作为响应被 发送 响应的执行也是可选择的 表 2.5: 正的分配帧 ID 响应 NAD PCI RSID 未被使用的 NAD 0x01 0xf1 0xff 0xff 0xff 0xff 0xff read by identifier request( 由标识符请求读取 ) 通过表 2.6 内请求, 可以实现从从机节点处读取供给者的 ID 和其他属性 = 只有当 NAD 供给者 ID 以及功能 ID 匹配时才可以发送响应 正的响应见表 2.8 如果响应无效, 也就是 说, 子功能不被支持, 那么表 2.9 内的消极响应就会被发送出去 表 2.6:Read by identifier request NAD PCI SID D1 D2 D3 D4 D5 NAD 0x06 0xb2 标识符 供给者 ID LSB 供给者 ID MSB 功能 ID LSB 功能 ID MSB 标识符的定义见表 2.7 表 2.7: 使用 read by identifier request 读取标识符 标识符 判读 响应的长度 0 LIN 协议 ID 5+RSID 1 编号 4+RSID 2-15 预留 消极响应 ; 不被子功能支持 信息 ID, 个字节 +RSID 用户定义的 用户定义的 预留的 预留的

50 节点配置 LIN 诊断和配置规范版本 年 9 月 23 日 ;P8 表 2.8: 可能的正 read by identifier 响应 每行代表一个可能的响应 Id NAD PCI RSID D1 D2 D3 D4 D5 0 NAD 0x06 0xf2 供给者 ID:LSB 供给者 ID MSB 功能 ID LSB 功能 ID MSB 变量 0 NAD 0x05 0xf2 编码 0,LSB 编号 1 编号 2 编号 3,MSB 0xff 预留 16 NAD 0x04 0xf2 信息 ID1 LSB 信息 ID1 MSB 受保护的 ID ( 或 FF) 0xff 0xff 17 NAD 0x04 0xf2 信息 ID2 LSB 信息 ID2 MSB 受保护的 ID( 或 FF) 0xff 0xff 18 NAD 0x04 0xf2 信息 ID3 LSB 信息 ID3 MSB 受保护的 ID( 或 FF) 0xff 0xff 19 NAD 0x04 0xf2 信息 ID4 LSB 信息 ID4 MSB 受保护的 ID( 或 FF) 0xff 0xff 20 NAD 0x04 0xf2 信息 ID5 LSB 信息 ID5 MSB 受保护的 ID( 或 FF) 0xff 0xff 21 NAD 0x04 0xf2 信息 ID6 LSB 信息 ID6 MSB 受保护的 ID( 或 FF) 0xff 0xff 22 NAD 0x04 0xf2 信息 ID7 LSB 信息 ID7 MSB 受保护的 ID( 或 FF) 0xff 0xff 23 NAD 0x04 0xf2 信息 ID8 LSB 信息 ID8 MSB 受保护的 ID( 或 FF) 0xff 0xff 24 NAD 0x04 0xf2 信息 ID9 LSB 信息 ID9 MSB 受保护的 ID( 或 FF) 0xff 0xff 25 NAD 0x04 0xf2 信息 ID10 LSB 信息 ID10 MSB 受保护的 ID( 或 FF) 0xff 0xff 26 NAD 0x04 0xf2 信息 ID11 LSB 信息 ID11 MSB 受保护的 ID( 或 FF) 0xff 0xff 27 NAD 0x04 0xf2 信息 ID12 LSB 信息 ID12 MSB 受保护的 ID( 或 FF) 0xff 0xff 28 NAD 0x04 0xf2 信息 ID13 LSB 信息 ID13 MSB 受保护的 ID( 或 FF) 0xff 0xff 29 NAD 0x04 0xf2 信息 ID14 LSB 信息 ID14 MSB 受保护的 ID( 或 FF) 0xff 0xff 30 NAD 0x04 0xf2 信息 ID15 LSB 信息 ID15 MSB 受保护的 ID( 或 FF) 0xff 0xff 31 NAD 0x04 0xf2 信息 ID16 LSB 信息 ID16 MSB 受保护的 ID( 或 FF) 0xff 0xff 表 2.9: 消极响应 NAD PCI RSID D1 D2 未被使用 NAD 0X03 0X7F 被请求的 SID (=0XB2) 错误代码 (=0x12) 0 x ff 0xff 0xff

51 节点配置 LIN 诊断和配置规范版本 年 9 月 23 日 ;P9 注 : 对标识符 0(LIN 产品 ID) 的支持是唯一的一个强制实行的标识符, 也就是说, 编号和信息 ID 是可供选 择的 范围在 的标识符是用户定义的, 因此没有列在表 2.8 中 2.6 可选要求 本章节列出的请求使可供选择的, 可以在 LIN 从机节点内执行 这些请求是可供选择的, 因为它们的成 本效果并不总是会被激发 此外, 为了鼓励形成统一的问题解决方案, 也对这些请求做出了规范 也 就是说, 推荐系统使用下列不同类型的请求来解决同一个问题 Assign NAD( 分配 NAD) Assign NAD 用于解决有冲突的节点地址 其结构如表 2.10 所示 : 只有当 NAD 供给者 ID 和功能 ID 匹配时, 才会发送响应 如果成功的话, 表 2.11 内的信息就会作为响 应被发送 响应的执行是可选择的 表 2.10:Assign NAD 请求 NAD PCI SID D1 D2 D3 D4 D5 初始 0x06 0xb0 供给者 ID 供给者 ID 功能 ID 功能 ID 新的 NAD LSB MSB LSB MSB NAD 表 :2.11: 正的 Assign NAD 请求 NAD PCI RSID 未被使用的 初始 NAD 0x01 0xf0 0xff 0xff 0xff 0xff 0xff 注 : 这个服务总会用到初始 NAD; 目的是希望避免节点地址的丢失 用于响应的 NAD 应该与请求中使用的 NAD 一致, 即都是初始 NAD Conditional change NAD( 有条件变化的 NAD) Conditional change NAD 用于检测和分离机群中未知的从机节点 这些未知节点出现在机群里面的潜在 原因是, 举例来说, 是当制造机群的时候有不正确的组装, 或在工作阶段, 发生不正确的节点替代 Conditional change NAD 可以检测到节点, 并允许主机节点汇报描述问题的诊断信息 8 请求的行为是 :

52 节点配置 LIN 诊断和配置规范版本 年 9 月 23 日 ;P10 1. 获得表 2.8 规定的标识符, 并根据 ID 选择 2. 截取根据字节选择的数据字节 ( 字节 =1 对应第一个字节,D1) 3. 先取反, 再按位异或操作 4. 先掩码, 再按位和操作 5. 如果最后的结果是 0, 将 NAD 变为新的 NAD 表 2.12: Conditional change NAD 请求 NAD PCI SID D1 D2 D3 D4 D5 NAD 0x06 0xb3 ID 字节 MASK INVERT 新的 NAD 表 2.13: 可选择的正 Conditional change NAD 响应 NAD PCI RSID 未被使用的 NAD 0x01 0xf3 0xff 0xff 0xff 0xff 0xff 注 : 注意 : 用现有的 NAD 标注 Conditional change NAD 的地址, 也就是说, 它并不总是使用初始 NAD, 这与 Assign NAD 请求相反 Data Dump ( 数据转存 ) 注 : SID=0xb4 是节点供给者为节点的初始配置保留的 信息的模板是由供给者具体规定的 因此,SID 不 可以在运算 LIN 机群中使用 表 2.14:Data dump 请求 NAD PCI SID D1 D2 D3 D4 D5 NAD 0x06 0xb4 用户定义 用户定义 用户定义 用户定义 用户定义 表 2.15:Data dump 响应 NAD PCI SID D1 D2 D3 D4 D5 NAD 0x06 0xf4 用户定义 用户定义 用户定义 用户定义 用户定义

53 诊断 LIN 诊断和配置规范版本 年 9 月 23 日 ;P11 3. 诊断 诊断信息的收集有 3 种方法, 具体见下文 这些方法可能会共存于同一个机群里面, 甚至同一个节点里 面 本文中所列出的任何方法的执行都是可选择的 3.1 基于信号的诊断 关于收集诊断信息最简单的方法是在正常的 无条件的帧里面使用通用信号 这个解决方案的特点是 : 从机节点的费用非常低 概念的标准化 ( 因为它使用的是常规信号 / 帧 ) 由于数据内容是固定在帧结构里面, 因此灵活性较差 3.2 用户定义的诊断 诊断帧的自由范围是可以被使用的 该自由范围必须具有范围在 128(0x80)-255(0xff) 之间所有第一个 数据字节, 见章节 基于自由范围诊断的解决方案, 其特点如下 : 非标准化的, 因此不可随意移动 由于是为了满足特定需求而设计的, 因此费用合理 正是因为用户定义的诊断是非标准化的, 因此基于诊断的信号就成为了受人欢迎的解决方案 3.3 诊断运输层 LIN 诊断运输层主要是针对的那些系统, 如在 ( 基于 CAN) 主干总线上执行 ISO 诊断, 而系统设计者也 希望在 LIN 子总线机群中使用同样的诊断功能 事实上, 这些信息与 ISO 信息是一样的, 且 PDUs 所携带 的信息也非常相似, 见第 章节的定义 典型的系统配置见图 3.1 所示 LIN 帧断层的目标如下 : 主机低负荷 LIN 从机能够直接提供全部 ( 或部分 )ISO 诊断 可以锁定有功效强大的节点建成的机群 ( 非主流的低成本 LIN)

54 诊断 LIN 诊断和配置规范版本 年 9 月 23 日 ;P12 检测器 主干总线 主机 LIN 机群 从机 1 从机 2 图 3.1: 使用运输层, 对 LIN 机群进行系统设置 ( 典型 ) PDU 结构本章节的信息是章节 2.3 所提供的信息的一个子集 在 LIN 诊断帧内部输送的单元被称为 PDU( 分组数据单元 ) PDU 可以是一个完整的信息, 也可以是一个信息的一部分 在后一种情况下, 多个被连接在一起的 PDU 形成一个完整的信息 客户机程序 (ISO: 检测器,LIN: 主机 ) 发布的信息被称为请求 ; 服务器 (ISO: 主机,LIN: 从机 ) 发布的信息, 被称为响应 LIN 机群不是用流量控制 ( 其定义见 ISO[1]) 如果主干总线(back-bone bus) 测试仪器需要流量控制 PDUs,PDUs 必须由主机节点生成 概述为了简化 ISO 诊断帧 [1] 和 LIN 诊断帧之间的转化, 我们定义了一个非常相似的结构, 该结构可以支持 PDU 类型, 见图 3.2

55 诊断 LIN 诊断和配置规范版本 年 9 月 23 日 ;P13 请求 NAD PCI SID D1 D 2 D 3 D 4 D 5 PCI-type=SF PCI-type=FF NAD PCI LEN SID D D D D PCI-type=CF NAD PCI D1 D2 D D D D PCI-type=SF 响应 NAD PCI RSI D D D3 D4 D5 D 1 2 PCI-type=FF NAD PCI LEN SID D1 D2 D3 D4 图 3.2: 由 LIN 诊断运输层支持的 PDUs 左边的字节(NAD) 是第一个被发送的字节, 右边的字节是最后一个被发送的字节 (D4 D5 或 D6) 请求通常是在主机请求帧内部发送的, 而响应则是在从机响应帧内部发送的 PDUs 内部每个字节的定义详见下面几个章节 NAD NAD 的定义见章节 PCI PCI( 协议控制信息 ) 包含运输层的流量控制信息 对节点配置来说,PCI 的字节判读有 3 种, 见表 3.1 表 3.1:PCI 字节的结构 类型 PCI 类型 附加信息 B7 B6 B5 B4 B3 B2 B1 B0 SF 长度 FF 长度 /256 CF 帧计数器 PCI 类型的单帧 (SF) 表示备输送的信息符合单 PDU, 也就是说, 它最多包含了 5 个数据字节 长度等 于所使用的数据字节的数量再加上 1( 这只针对 SID 或 RSID) PCI 类型的第一个帧 (FF) 用于表示一个多 PDU 信息的起始 ; 接下去的帧都是 CF 类型的, 见下文 信息内的数据字节总数加上 1( 只针对 SID 或 RSID), 被视为运输长度 长度最重要的四个位是在 PCI 类型内输送的 (8 个最不重要的位是在 LEN 上输送, 见下文 )

56 诊断 LIN 诊断和配置规范版本 年 9 月 23 日 ;P14 一个多 PDU 信息有一系列持续帧 (CF) 一个信息的第一个 CF 帧编号为 1, 第二个为 2, 诸如此类 如 果运输一条完整的信息需要超过 15 个的 CF PDUs, 帧计数器会抱合, 从 0,1 开始 LEN LEN 字节只能在 FF 帧内使用 ; 它信息长度有 8 个最不重要的位 因此, 一个信息最大的长度是 4095 (0XFF) 字节 SID 服务标识符 (SID) 具体规定了从机节点应该执行的请求 该从机节点有地址标注 0-0xaf 和 0xb8-0xfe 用于诊断, 而 0xb0-0xb7 则用于节点配置 SID 的编号应该与 ISO 一致, 并将节点的配置放置到 某个区域 该区域见 机动车生产商的定义 RSID 响应服务标识符 (RSID) 具体规定了响应的内容 D1-D6 数据字节 ( 每个 PDU 有 6 个数据字节 ) 的判读取决于 SID 或 RSID 对多 PDU 信息来说, 信息中所有 PDU 的字节应该在解析前连接形成完整的信息 如果一个 PDU 无法完全被填满 ( 仅仅适用于 CF 和 SF PDUs), 剩余的字节应该用 1 来填满, 也就是 说, 它们的值应该是 255(0xff) 定义的请求 LIN 运输层使用相同的诊断信息, 作为 ISO 诊断标准 [2] 之后,SID 和 RSID 也应该负荷 ISO 标准 节点 可以执行 ISO 标准所定义的服务的一个子集 ISO 的定时限制 ISO[1][2] 所使用的定时功能是根据几个属性, 如 P2 ST 和 T1, 决定的 这些属性需符合特定的范围 由于 LIN 比 CAN 要慢, 这些数值需做相应地调整 这些属性的数值并不是 LIN 标准的一部分 通过时间表的选择就可以对这些数值进行控制 诊断帧之间的时间表有预期时间 用这种方式, 机群开发者就可以完全控制这些值, 且这些值也可以根据相关的协定进行设置

57 诊断 LIN 诊断和配置规范版本 年 9 月 23 日 ;P 序列图有两个通讯盒 ; 检测器希望向从机节点发送诊断请求, 而从机节点希望向检测器发送诊断反响 下面的图 3.3 和图 3.4 显示了两个通讯盒之间的信息流 组成通讯的单元 ( 检测器或主机 ) 应避免要求多个从机同时相应 ( 因为这会造成总线碰撞 ), 这是非常重要的 检测器主机从机诊断请求沉寂的槽 ( 无任何 CAN 检测器帧 ) ID=0X2E 诊断请求 ( 从 CAN 开始的通路 ) 图 3.3:CAN 信息到 LIN 的通路 沉寂的槽 ( 无任何 CAN 检测器帧 ) 检测器主机从机 ID=0X3D 帧头, 但没有获得任何从从机发出的响应 诊断响应 ID=0X3D 诊断请求 ( 到 CAN 的通路 ) ID=0X3D 帧头, 但没有获得任何从从机发出的响应 图 3.4:CAN 信息到 LIN 的通路

58 参考文献 LIN 诊断和配置规范版本 年 9 月 23 日 ;P16 4 参考文献 [1] 道路车辆 控制器局域网络 (CAN) 的诊断 第二部分 : 网络层服务, 国际标准 ISO , 第 4 期,2002 年 6 月 21 日 [2] 道路车辆 控制器局域网络 (CAN) 的诊断 第三部分 : 诊断服务的执行, 国际标准 ISO , 第 5 期,2002 年 12 月 21 日

59 LIN 物理层规范版本 年 9 月 23 日 ;P1 LIN 物理层规范 版本 2.0 本规范是在 ASIS 的基础上形成的, 不可以作为任何索赔的依据 LIN 协会 版权所有 未经授权的复印 演示 或对本文件其他方面的使用, 都是违反 法律和知识产权的 LIN 是一个注册商标. 本文件的任何分发都登记在案

60 振荡器偏差 LIN 物理层规范版本 年 9 月 23 日 ;P2 1. 振荡器偏差 芯片集成时钟发生器使用内部校准, 能够获得比 ± 14% 更精准的频率偏差 这个精准度足可以在信息流里面探测到同步间隔 使用同步场的精细校准可以确保更加适当地接收和发送信息 在考虑到任何可能会影响振荡器频率的情况下, 特别是操作过程中的温度和电压漂移情况, 芯片集成振荡器必须允许对剩余信息帧进行正确的比特率测量和生成 编号时钟偏差名字.F / FNom 主机节点 ( 与标定时钟率的偏差 标定时钟率 FNom 的定义见 LIN 描述文件 ) 没有使用同步的从机节点 ( 与标定时钟率的偏差 ) 注 : 对任何两个节点的通讯来说, 它们之间的比特率偏差不得超过 ±2% 同步前, 从机节点时钟相对于标定时钟率的偏差 ; 与使用同步和直接 SYNCH BREAK( 同步间隔 ) 检测的节点做比较 FTOL_RES_MASTER <±0.5% FTOL_RES_SLAVE <±1.5% FTOL_UNSYNCH <±14% 表 1.1: 振荡器相对于标定时钟的偏差 编号时钟偏差名字.F / FNom 同步后, 从机节点时钟相对于主机节点时钟的偏差 ; 与使用同步的节点做比较 ; 对所有在 SYNCH( 同步 ) 场之后的帧的所有场来说, 任何从机节点必须在偏差的范围内 注 : 对任何两个节点的通讯来说, 它们之间的比特率偏差不得超过 ±2% FTOL_SYNCH <±2% 表 1.2: 从机振荡器相对于主机节点的偏差

61 位定时要求 LIN 物理层规范版本 年 9 月 23 日 ;P3 2. 位定时要求和同步程序 2.1 位定时要求如无另外规定, 本文件中的所有位时间都参考主机节点的位定时 2.2 同步程序同步场在其字节场里面有数据 0x55 同步过程是基于模式下降沿之间的时间量度 现有的下降沿存在着 和 8 位时间, 可以简单地计算基本位时间 T bit 同步场 8T bit 2T bit 2T bit 2T bit 2T bit 起始位 终止位图 2.1: 同步场我们建议, 测量两个下降沿之间的时间, 即起始位和位 7, 并将得到的值除以 8 将结果除 8 是将二进制的定时器值向 LSB 右移 3 位, 将最低位四舍五入, 校正即得到结果

62 总线驱动器 / 接收器 LIN 物理层规范版本 年 9 月 23 日 ;P4 3. 总线驱动器 / 接收器 3.1 总体配置总线驱动器 / 接收器是一个 ISO 9141 标准的增强设备 它包括双向 LIN 总线 这个双向总线连接每个节点的驱动器 / 接收器, 并通过一个终端电阻和一个二极管连接到电池节点的正极 V BAT ( 见图 3.1) 二极管可以在丢失电池 掉电的情况下, 可以阻止没有控制的 ECU 的电源供应不受总线的影响 ECU 的电源供应是不受控制的 要注意 :LIN 规范将电子控制单元 (ECU) 的外部电气连接电压作为参考电压, 而不是将 ECU 内部电压作为参考电压 当设计 LIN 的收发器电路时, 特别要考虑二极管的反向极性寄生电压降 3.2 物理接口供应电压的定义 V BAT 表示了控制单元连接器的供应电压 单元内的电子组件, 其内部供应电压 V SUP 与 V BAT 不一样 ( 见图 3.1) 这可以保护滤波器元件以及由于总线上的动态电压变化 因此, 需要考虑到 LIN 适用的半导体产品 V BUS 在上升过程中, 二极管的电压降 V BAT V SUP Vrec VBUS dom T 图 3.1: 外部供应电压 V BAT 和内部供应电压 V SUP 的不同

63 总线驱动器 / 接收器 LIN 物理层规范版本 年 9 月 23 日 ;P5 3.3 信号规范 图 3.2: 总线上的电压级为了能够让比特正确输送和接收, 需确保处于接收器位采样时间的信号有正确的电压级 ( 显性或隐形 ) 电压在供应过程中, 需考虑到地面电压的转换和下降, 以及在信号传播过程中因延误造成的不对称 图 3.3 显示了会对 LIN 总线行为产生冲突的定时参数 不同参数的最大和最小值见下文表格

64 总线驱动器 / 接收器 LIN 物理层规范版本 年 9 月 23 日 ;P6 定时图 图 3.3: 总线定时参数的定义

65 总线驱动器 / 接收器 LIN 物理层规范版本 年 9 月 23 日 ;P7 3.4 电气直流电参数 LIN 物理层的电气直流参数和终端电阻器分别见表 3.1 和表 3.2 注意, 由于在一个集成的电阻二极管网络中没有寄生的电流通路, 所以要在总线和 ECU 内部电压 (V SUP ) 之间形成一条寄生电流通道, 比如通过 ESD 元件 编号 参数 最小值 典型值 最大值 单位 备注 / 条件 a V BAT 8 18 V 工作电压范围 Vsup b V 供应电压范围 Vsup_non-op V 器件不被破还的电压范围, C I BUS_LIM ma 驱动器显性状态的电流限制启动驱动器 V BUS_PAS_dom -1 ma V BUS_NO_rec 20 µa VBUS = VBAT_max d 接收器的输入泄漏电流, 包括上拉电阻, 具体见表 3.2 关闭驱动器 VBUS = 0V VBAT= 12V 关闭驱动器 8V<VBAT<18V 8V<VBUS<18V VBUS VBAT 控制单元不接地 GNDDevice = VSUP V BUS_NO_GND -1 1 ma 0V<VBUS<18V VBAT = 12V 控制单元不接地的情况不得 影响的其他网络的通讯 表 3.1:LIN 物理层的电气直流电参数

66 总线驱动器 / 接收器 LIN 物理层规范版本 年 9 月 23 日 ;P8 编号 参数 最小值 典型值 最大值 单位 备注 / 条件 IBUS 100 µa VBAT 已经断开 VSUP_Device = GND 0<VBUS<18V 节点需确保电流能够在该情况下流动, 且总线仍然可以继续操作 VBUSdom 0.4 Vsup 接收器的显性状态 VBUSrec 0.6 Vsup 接收器的隐性状态 VBUS_CNT Vsup VBUS_CNT=(Vth_dom+ Vth_rec)/2 e VHYS Vsup VHYS = Vth_rec -Vth_dom VSerDiode V 在上升通路上, 串行二极管 Dser_Master 和 Dser_int 的电压下降 ( 见图 3.1) Vshift_BAT 0 10% VBAT VBAT-Shift Vshift_GND 0 10% VBAT GND-Shift 表 3.1:LIN 物理层的电气直流电参数 a. VBAT 控制单元连接器的供应电源, 与电气组件来的内部供应电压 VSUP, 可能会有所不同 ( 见章节 3.2) b. VSUP 表示控制单元内部的收发器的供应电压, 可能会与控制单元的外部供应电压 VBAT 有所不同 ( 见章节 3.2) c. IBUS: 流入节点的电流 d. 收发器至少可以下拉 40mA 电流 流入节点的最大电流在直流电环境下不得超过 200 ma, 以避免可能的损坏 e. Vth_dom: 接收器从隐性 LIN 总线边到显性 LIN 总线边的阈 Vth_rec: 接收器从显性 LIN 总线边到隐性 LIN 总线边的阈 编号 参数 最小值 典型值 最大值 单位 备注 Rmaster Ω 串行二极管是必要的 ( 见图 3.1) Rslave KΩ 串行二极管是必要的 表 3.2: 上拉电阻参数 注 : 所有定义参数是在 的环境范围内得到的

67 总线驱动器 / 接收器 LIN 物理层规范版本 年 9 月 23 日 ;P9 3.5 电气交流电的参数 LIN 物理层的电气交流电的参数见表 3.3 表 3.4 和表 3.5 参数的定义见图 3.3 总线的电气交流电特性受到总线特性的强烈影响, 见章节 3.3 总线的时间常数 τ( 以及总的电容 ) 必须小心选择, 以便能够在较糟的环境状况下执行正确的信号 下列表格 ( 表 3.3) 具体规定了在 20.0 kbit/sec 操作环境下的定时参数 表 3.3:LIN 物理层驱动器电气交流电的参数 (20.0 kbit/s) 为了改进 EMC 的性能, 可以允许例外情况存在, 如速度为 10.4 kbit/sec, 或速度为下文所列的值 具 体见下表 ( 表 3.4) 该表格具体规定了在 10.4 kbit/sec 操作环境下的定时参数 表 3.4:LIN 物理层的驱动器电气交流电参数 (10.4 kbit/sec)

68 总线驱动器 / 接收器 LIN 物理层规范版本 年 9 月 23 日 ;P10 专用集成电路 (ASICs) 应符合表 3.3 和 / 或表 3.4 的参数 如果两套表的参数都被执行了, 那么应该根据总线 比特率选择合适的模式 表 3.5:LIN 物理层的接收器电气交流电参数 LIN 总线的 EMC 行为取决于信号形态 信号形态由回旋率和其他因素如 di/dt 和 d2v/dt2 决定 请仔细 选择信号的形态, 因为一方面它可以降低信号发射, 另一方面也能够允许将比特率提高至 20 kbit/sec

69 总线驱动器 / 接收器 LIN 物理层规范版本 年 9 月 23 日 ;P 总线特性总线信号上升和下降的最大旋转率在实际操作过程中, 会受到典型总线收发器控制的旋转率限制 上升信号的最小旋转率由 RC 时间常数给定 因此, 总线的电容应保持非常低, 这样可以保持较低的波形非对称性 主机模块选择的电容要比从机模块大, 因此, 一旦网络变量存在多个节点编号时, 大的电容就可以成为一个 缓冲器 整个总线的电容 CBUS 可以用下面的方程 (3.6.1) 算出 : 方程 3.6.1: C BUS = C MASTER + n C SLAVE + C LINE LEN BUS RC 时间常数 τ 可以通过方程 (3.6.2) 算出 : 方程 3.6.2: τ = C BUS R BUS 方程 3.6.3: R BUS = R master R SLAVE 1 R SLAVE 2... R SLAVE_n 上述方程可以根据表 3.6 给定的参数得出结果 最小值 典型值 最大值 单位 总线总长度 LEN BUS 40 m 总线总电容, 包括从机电容和主机电容 C BUS nf 整个系统的时间常数 τ 1 5 µs 主机节点的电容 C master 220 pf 从机节点的电容 C SLAVE pf 线电容 C LINE pf/ m 表 3.6: 总线特性和参数 C master 和 C SLAVE 定义了 ECU 连接器的总节点电容, 包括物理总线驱动器 ( 收发器 ) 以及其他应用于 LIN 总线 pin 的所有元件, 像电容器或保护电路 LIN 机群的节点数量不得超过 16 1 注 1: 在很糟糕的情况下, 如果节点数量超过 16 个, 网络全电阻可能会禁止无任何错误的通讯 每一个附加节点都会降低大约 3% 的网络电阻 (30 kω ~1 kω.)

70 总线驱动器 / 接收器 LIN 物理层规范版本 年 9 月 23 日 ;P ESD/EMI 的符合条件 半导体物理层设备必须遵守 IEC :1995 的要求, 预防因人体放电而产生的破坏 最少的放电电压级是 ± 2000V 注 : 在 ECU 连接器的汽车应用中, 要求 ESD 的电压级可达到 ±8000V

71 LIN 应用程序接口规范版本 年 9 月 23 日 ;P1 LIN 应用程序接口规范 版本 2.0 本规范是在 ASIS 的基础上形成的, 不可以作为任何索赔的依据 LIN 协会 版权所有 未经授权的复印 演示 或对本文件其他方面的使用, 都是违反 法律和知识产权的 LIN 是一个注册商标. 本文件的任何分发都登记在案

72 介绍 LIN 应用程序接口规范版本 年 9 月 23 日 ;P2 1. 介绍 LIN 设备驱动程序可以在硬件或软件中执行 若在软件中执行程序, 有多种语言可供选择 因此将 LIN 设备驱动程序直接与应用软件进行集成, 是有可能的 本文件定义了用 C 语言编程的软件 LIN 设备驱动程序 的强制接口 因此, 硬件设备和用其他语 言编程的设备都不是标准化的 如果 LIN 设备驱动程序以其他语言形式出现, 比如 Ada, 我们鼓励用户 使用本文件介绍的概念, 即使句法将会有所不同 API 被分成两部分 ;LIN 额内核 API 和 LIN 的诊断 API; 在 LIN 系统中, 诊断的特性是可以选择的 LIN 的内核 API 覆盖的行为详见 LIN 协议规范 内的定义 ; 而 LIN 的诊断 API 覆盖的行为详见 LIN 诊断 和配置规范 1.1 操作概念 系统生成 LDF 文件 ( 见 LIN 配置语言规范 ) 通过工具解析, 生成 API 和驱动程序模块, 这就是系统的生成 1 由于 LDF 文件只涉及 LIN 的机群, 因此在系统生成过程中, 需要更多的信息 不过这并不是 LIN 标准的 一部分 API LIN 的内核 API LIN 的内核 API 使用的是应用程序和 LIN 内核之间的交互作用, 该交互作用是基于信号的 这表示 应用软件并不一定要干扰到帧和帧的输送 如果必要的话, 现有的工具可以探测到某个具体帧的转移, 见章节 2.3 当然, 控制 LIN 内核的 API 调用也是存在的 两个版本包含了绝大多数的 API 调用 ; 静态程序和动态程序 静态程序将信号的名称或接口嵌入程 序名称里面, 而动态程序则将此作为一个参数 至于该选择那个程序, 这属个人口味问题 注 1:LIN 开发工具销售商可以免费为您安装, 以符合他们自己的工具链

73 介绍 LIN 应用程序接口规范版本 年 9 月 23 日 ;P3 LIN 节点配置 API LIN 节点配置 API 是建立在报文帧的基础上的, 也就是说, 主机节点内的应用软件会调动一个 API 程序, 该程序可以向指定的从机节点发送请求, 并等待响应 从机节点 LIN 设备驱动程序会自动处理这个请求 / 响应 LIN 诊断运输层 API LIN 诊断运输层也是建立在报文帧的基础上的, 但是, 它的目的用途是作为报文帧的一个运输层, 为 LIN 设备驱动程序以外的诊断报文剖析器提供服务 这是一个典型的 ISO 诊断模块 有两种专用的可选 API 存在, 一个是未加工的 API, 它允许应用软件控制每一个被发送出去的帧的内容, 另一个是已加工的 API, 它执行所有运输层的功能

74 内核 API LIN 应用程序接口规范版本 年 9 月 23 日 ;P4 2 内核 API LIN 的内核 API 有一套完整的功能, 这些功能全部是在 赋予 API 一个独立的名称空间 (name space) 的理论基础上成立的, 其目的就是为了使现有软件上的冲突风险最小化 所有功能和类型将会有一个前缀 l_ ( L 的小写字母后面, 再加一个下划线 ) LIN 的内核可以定义下列几个类型 :. l_bool. l_ioctl_op. l_irqmask. l_u8. l_u16 为了获得效率, 大多数功能都是静态功能 ( 不需要参数, 因为功能是通过信号 接口等等存在的 ) 2.1 驱动器和机群管理 l_sys_init 原型 l_bool l_sys_init (void); 描述 l_sys_init 执行 LIN 内核的初始化返回如果初始化成功, 则结果为 0 如果初始化不成功, 则结果不为 0 注用户在使用其他 API 功能前,l_sys_init 的调用是他在 LIN 内核内必须使用的第一个调用 2.2 信号交互 信号类型信号有 3 中不同的类型 : l_bool 代表一位信号 ; 如果错误, 则为 0; 如果不是错误, 则不为 0 l_u8 l_u16 代表大小在 1-8 个位的信号 代表大小在 9-16 个位的信号

75 内核 API LIN 应用程序接口规范版本 年 9 月 23 日 ;P 标量信号的读取动态原型 l_bool l_bool_rd (l_signal_handle sss); l_u8 l_u8_rd (l_signal_handle sss); l_u16 l_u16_rd (l_signal_handle sss); 静态执行 l_bool l_bool_rd_sss (void); l_u8 l_u8_rd_sss (void); l_u16 l_u16_rd_sss (void); 方程中 sss 是信号的名称, 比如,l_u8_rd_EngineSpeed (). 描述读取并返回名称为 sss 的当前信号值 标量信号的写入动态原型 void l_bool_wr (l_signal_handle sss, l_bool v); void l_u8_wr (l_signal_handle sss, l_u8 v); void l_u16_wr (l_signal_handle sss, l_u16 v); 静态执行 void l_bool_wr_sss (l_bool v); void l_u8_wr_sss (l_u8 v); void l_u16_wr_sss (l_u16 v); 方程中 sss 是信号的名称, 比如,l_u8_wr_EngineSpeed (v) 描述将 sss 指定的当前信号值设置为 V 字节数组读取动态原型 void l_bytes_rd (l_signal_handle sss, l_u8 start, /* first byte to read from */ l_u8 count, /* number of bytes to read */ l_u8* const data); /* where data will be written */ 静态执行 void l_bytes_rd_sss (l_u8 start, l_u8 count, l_u8* const data); 方程中 sss 是信号的名称, 比如,l_ bytes_rd_enginespeed ( ).

76 内核 API LIN 应用程序接口规范版本 年 9 月 23 日 ;P6 描述 读取并返回信号中被选择的字节的当前值, 该信号的名称为 sss 假设一个字节数组的长度是 6 个字节, 编号是 0-5 那么如果要读取该数组中的字节 2 和 3, 要求起始位 是 2( 直接跳过字节 0 和 1), 并计数为 2( 读取字节 2 和 3) 在这个例子中, 字节 2 被读入数据 [0], 字 节 3 会被读入数据 [1] 虽然可以选择让设备驱动程序不执行, 但起始位和计数的总和不得大于整个字节数组的长度 字节数组写入 动态原型 void l_bytes_wr (l_signal_handle sss, l_u8 start, /* first byte to write to */ l_u8 count, /* number of bytes to write */ const l_u8* const data); /* where data is read from */ 静态执行 void l_bytes_wr_sss (l_u8 start, l_u8 count, const l_u8* const data); 方程中,SSS 是信号的名称, 如 l_bytes_wr_enginespeed (..). 描述 将信号中被选择字节的当前值设置为指定的值, 该信号的名称为 SSS 假设一个字节数组的长度是 7 个字节, 编号为 0-6 如果要写入该数组中的字节 3 和 4, 那么要求起始位 为 3( 跳过 0 1 和 2), 并计数为 2( 读取字节 3 和 4) 在这个例子中, 字节 3 从数据 [0] 读出, 字节 4 从数据 [1] 中读出 2.3 通知 标记是节点内部的符号 它们可以使应用程序与 LIN 内核同步 标记使 LIN 内核自动设置的, 只能 被应用程序测试或清楚 l_flg_tst 动态原型 l_bool l_flg_tst (l_flag_handle fff);

77 内核 API LIN 应用程序接口规范版本 年 9 月 23 日 ;P7 静态执行 l_bool l_flg_tst_fff (void); fff 是标记的名称, 如 l_flg_tst_rxenginespeed (). 描述 返回表明标记当前状态的 C 布尔值, 该标记的名称为 fff, 即如果标记被清除后, 返回 0, 如果没有被清 除, 则不为 0 注 : 当关联物 ( 信号或帧 ) 被 LIN 模块更新后, 会设置标记 l_flg_clr 动态原型 void l_flg_clr (l_flag_handle fff); 静态执行 void l_flg_clr_fff (void); 信号的名称为 fff, 如 l_flg_clr_rxenginespeed (). 描述 将名称为 fff 的标记的当前值设置为 进度管理 l_sch_tick 动态原型 l_u8 l_sch_tick (l_ifc_handle iii); 静态执行 l_u8 l_sch_tick_iii (void); 是接口的名称, 如 l_sch_tick_mylinifc () 描述 l_sch_tick 的功能就是跟随时间表 当根据进度表帧要被发送时, 程序便会启动这个发送动作 当前的 进度表都被完成时,l_sch_tick 又会从头开始执行 对每个有节点的接头来说, 须内招网络配置文件规定的速度逐个调动 l_sch_tick

78 内核 API LIN 应用程序接口规范版本 年 9 月 23 日 ;P8 返回如果 l_sch_tick 的下一个调动将在下一条进度条目里启动帧的发送, 那么结果不为 0 在这个例子中, 返回值将是这个进度条目在整个进度表上的编号 ( 从进度表的起始位置开始计数 ) 如果进度有 N 条条目, 那么返回值的范围是 1-N 如果 l_sch_tick 的下一个调动不会开始帧的发送, 那么结果为 0 注 l_sch_tick 可以在主机节点内使用 调用 l_sch_tick 不仅仅可以启动下一个帧的发送, 而且从之前 l_sch_tick 的调用开始, 即在该接口的最后一个帧内, 它还可以更新那些接收到的信号的信号值 返回值的使用见 l_sch_set 的注解 l_sch_set 动态原型 void l_sch_set (l_ifc_handle iii, l_schedule_handle schedule, l_u8 entry); 静态执行 void l_sch_set_iii (l_schedule_handle schedule, l_u8 entry); iii 是接口的名称, 比如 l_sch_set_mylinifc (MySchedule1, 0); 描述设置下一个进度表, 进度表后面是针对某个接口 iii 的 l_sch_tick 函数 一旦当前的进度达到下一个进度条目的入口点, 新的进度就会被激活 条目定义了新进度表上的起始入口点 如果进度表有 N 条目, 那么这个值的范围在 0-N, 如果条目是 0 或 1, 那么新的进度表将会从头开始 注 : l_sch_set 只可以在主机节点里面使用 关于条目值的可能用途, 它可以与 l_sch_tick 的返回值联合, 用一个进度表暂时中断另一个进度表 此外, 条目值还可以跳回到中断前在被中断进度表上的位置 预先定义的进度表,L_NULL_SCHEDULE, 可以用来阻止 LIN 机群上的所有转移

79 内核 API LIN 应用程序接口规范版本 年 9 月 23 日 ;P9 2.5 接口管理 l_ifc_init 动态原型 void l_ifc_init (l_ifc_handle iii) ; 静态执行 Void l_ifc_init_iii (void) ; iii 是接口的名称, 如 l_ifc_init_mylinifc () 描述 l_ifc_init 使名称为 iii 的控制器初始化, 也就是说 l_ifc_init 设置了例如波特率之类的内部操作 调用 l_ifc_init 时, 其所设置的默认进度是 L_NULL_SCHEDULE; 在这个程序里面, 不发生任何帧的发送 和接收 注 : 所有接口按照它们的名称被列入了本地描述文件中 在使用其他与 LIN API 功能相关的接口 比如 l_ifc_connect () 或 l_ifc_rx () 之前,l_ifc_init () 函数是用户必须操作的第一个调用 I_ifc_connect 动态原型 l_bool l_ifc_connect (l_ifc_handle iii); 静态执行 l_bool l_ifc_connect_iii (void); iii 是接口的名称, 如如 l_ifc_connect_mylinifc () 描述 调用 l_ifc_connect 会将接口 iii 与 LIN 机群连接起来, 并将帧头和数据输送到总线上 返回 如果 连接操作 成功, 则为 0, 如果 连接操作 失败, 在不为 l_ifc_disconnect 动态原型

80 内核 API LIN 应用程序接口规范版本 年 9 月 23 日 ;P10 l_bool l_ifc_disconnect (l_ifc_handle iii); 静态执行 l_bool l_ifc_disconnect_iii (void); iii 是接口的名称, 如 l_ifc_disconnect_mylinifc (); 描述调用 l_ifc_disconnect 将会断开接口 iii 与 LIN 机群的连接, 并终止接口与机群中其他节点的交互 返回如果 断开连接操作 成功, 则为 0, 如果 断开连接操作 失败, 在不为 l_ifc_goto_sleep 动态原型 void l_ifc_goto_sleep (l_ifc_handle iii); 静态执行 void l_ifc_goto_sleep_iii (void); iii 是接口的名称, 如如 l_ifc_go_to_mylinifc (). 描述 通过启动特殊的 go-to-sleep-mode-command ( 进入休眠模式命令 ),l_ifc_goto_sleep 会命令与接 口相连接的机群上的所有从机节点进入休眠模式 见 章节 注 l_ifc_goto_sleep 只可以在主机节点中使用 l_ifc_wake_up 动态原型 void l_ifc_wake_up (l_ifc_handle iii); 静态执行 void l_ifc_wake_up_iii (void); iii 是接口的名称, 如如 l_ifc_wake_up_mylinifc (); 描述 该函数的调用可以输送 LIN 总线上一个 0xf0 的字节, 如介于 250 μs 和 5 ms 之间的主脉冲 ( 取决于配 置的比特率 ), 见 LIN 协议规范 第 5.1 章节

81 内核 API LIN 应用程序接口规范版本 年 9 月 23 日 ;P l_ifc_ioctl 动态原型 l_u16 l_ifc_ioctl (l_ifc_handle iii, l_ioctl_op op, void *pv); 静态执行 l_u16 l_ifc_ioctl_iii (l_ioctl_op op, void *pv); iii 是接口的名称, 如 l_ifc_init_mylinifc (MyOp, &MyPars). 描述该函数控制协议和接口的特殊参数 Iii 是接口的名称, 在 op 定义的操作应当被应用于该接口 指针 pv 指向一个可选择的参数块 至于到底要支持哪个操作, 这取决于接口的类型 ; 程序员因此必须要参考目标绑定文件中关于具体接口的文件, 该文件将会指定所有的操作和返回值 注意 : 参数块的判读取决于所选择的操作 有些操作程序并不需要这个参数块 在这种情况下, 指示器 pv 可以被设置为 NULL( 空 ) 如果参数块与操作相关, 那么它的模板则由接口决定, 因此不必须要参考目标绑定文件上关于接口规格的信息 l_ifc_rx 动态原型 void l_ifc_rx (l_ifc_handle iii); 静态执行 void l_ifc_rx_iii (void); iii 是接口的名称, 如 l_ifc_rx_mylinifc (). 描述当接口 iii 已经收到数据的一个字符的时候, 函数便会被调用 比如, 当收到数据的一个字符时, 该函数便可以从用户定义的由 UART 触发的中断处理器中调用出来 在这个情况下, 函数将会在 UART 控制寄存器上执行必要的操作注应用程序负责绑定中断并设置正确的接口操作 ( 前提中断已经启用 )

82 内核 API LIN 应用程序接口规范版本 年 9 月 23 日 ;P l_ifc_tx 动态原型 void l_ifc_tx (l_ifc_handle iii); 静态执行 void l_ifc_tx_iii(void); iii 是接口的名称, 比如 l_ifc_tx_mylinifc (). 描述 当接口 iii 传输了一个字符的资料时, 函数就会被调用 比如, 当已经输送了数据的一个字符时, 该函数便可以从用户定义的由 UART 触发的中断处理器中调 用出来 在这个情况下, 函数将会在 UART 控制寄存器上执行必要的操作 注意 本应用程序只负责绑定中断以及设置正确的接口操作器 ( 如果使用了中断 ) 如果输送与 the l_ifc_rx 函数调用连接时, 函数在某些执行过程中可能为空 该信息在目标绑定文件中 已经为用户进行描述了 l_ifc_aux 动态原型 void l_ifc_aux (l_ifc_handle iii); 静态执行 void l_ifc_aux_iii(void); iii 是接口的名称, 比如 l_ifc_aux_mylinifc () 描述 该函数可以在从机节点中使用, 以便能够与 BREAK 和 SYNC 字符同步 BREAK 和 SYNC 字符是由 iii 指 定接口上的主机发送的 比如, 该函数可以从用户定义的中断处理器中调用出来 该中断处理器是硬件 pin( 与接口 iii 连接 ) 在 侧边检测 (flank detection) 过程中产生的 注 : l_sch_set 只可以在从机节点里面使用 该函数是与硬件紧密连接的 ; 关于该函数的正确执行和使用, 已经在目标绑定文件详细描述

83 内核 API LIN 应用程序接口规范版本 年 9 月 23 日 ;P13 如果 BREAK/SYNC 的检测是在 l_ifc_rx 函数中执行的, 那么函数可能会空 l_ifc_read_status 动态原型 l_u16 l_ifc_read_status (l_ifc_handle iii); 静态执行 l_u16 l_ifc_read_status_iii(void); iii 是接口的名称, 比如 l_ifc_read_status_mylinifc (). 描述函数的调用可以返回一个 16 位的值, 见表 2.1 表 2.1: l_ifc_read_status 的返回值 ( 位 15 是 MSB, 位 0 是 LSB) 最后一个帧受保护标识符 进入休眠溢出成功转移响应错误 如果从前一个 l_ifc_read_status 的调用开始, 由节点处理的一个 ( 或多个 ) 帧在帧响应阶段产生了错误, 那么函数就会设置 响应错误, 比如校验和错误 帧错误, 等等 2 如果从前一个 l_ifc_read_status 的调用开始, 一个 ( 或多个 ) 帧在处理过程无任何错误, 那么函数就会设置 成功转移 如果从前一个 l_ifc_read_status 的调用开始便有 2 个或 2 个以上的帧被处理, 那么函数就会设置 溢出 如果从前一个 l_ifc_read_status 的调用开始, 收到了 go-to-sleep-mode-command( 进入休眠模式命令 ) 的信息, 那么函数就会设置 进入休眠 最后一个帧的受保护标识符 指得是总线上最后一个被检测的受保护标识符, 该标识符需在节点中被处理 如果函数设置了 溢出, 那么最后一个帧的受保护标识符的一个或多个值将会丢失 ; 只有最新的值才可以被保留下来 注 2: 帧头中的一个错误会导致帧头无法被识别, 从而导致帧被忽视

84 内核 API LIN 应用程序接口规范版本 年 9 月 23 日 ;P14 注 : LIN 从机节点在总线上沉寂 4 秒后, 便会要求进入休眠模式 这可以通过应用程序监控状态位进行操作 ; 如 0 读入持续 1 秒钟, 这表示总线处于沉寂状态 3 案例 l_ifc_read_status 的设计, 可以使系统以比帧槽频率要低的频率读取, 如每 50 个帧槽发送一次 在这例子中, 最后一个帧的受保护标识符一点用处都没有 而 溢出 则可以检查总线交通是否按照正常状态运行, 也就是说, 溢出 应该一直都处于设置状态 不过, 在每个帧槽时间之间, 都可以调用 l_ifc_read_status, 并获得一个较为准确的错误统计表 ; 如果您了解拓扑学, 那么您可以看到转移失败的受保护标识符, 并可以获得关于错误节点的一个较好的结论 所提供的信息, 特别是与 error_response 信号 ( 见 LIN 协议标准 第 6.3 章节 ) 关联的信息, 规定了非常详细的汽车 OEM 错误节点或配线的特殊记录 操作注解如果调用 l_ifc_read_status 两次后而无任何帧转移发生, 那么函数将会在第二此调用时返回 0 在对帧的校验和进行处理和确认后, 函数将会设置 成功转移 如果在帧响应处理过程中检测到了一个错误, 那么函数将会设置 响应错误 如果成功转移或响应错误被设置时, 那么函数就会同时设置 最后一个帧的受保护标识符 如果成功转移或响应错误中有一个已经被设置, 且如果驱动器需要设置其中一个时, 函数就会设置 溢出 当 go-to-sleep-mode-command( 进入休眠模式命令 ) 已经被发送出去或尚未被发送出去的时候, 主机节点操作便会在 API 调用被启动时可以设置 进入休眠 模式 4 注 3: 暗示了主机每秒必须与所有从机节点通讯一次 ; 如果无任何其他要求, 它至少要统计 receive_error( 接收错误 ) 状态位 注 4: 为什么这么有弹性的原因是, 主机节点操作不可被迫接收它自己输送的信号

85 内核 API LIN 应用程序接口规范版本 年 9 月 23 日 ;P 用户提供的标注用户必须提供一对函数, 它们可以从 LIN 内核中调用出来, 以便在某内核操作前, 使所有控制器的中断操作终止, 并在这些操作后, 修复之前的状态 举例来说, 这些功能可以在 l_sch_tick 函数中使用 l_sys_irq_disable 动态原型 l_irqmask l_sys_irq_disable (void); 描述用户在操作函数时, 必须获得一个状态 ; 在该状态中, 不会发生任何控制器中断操作 l_sys_irq_restore 动态原型 void l_sys_irq_restore (l_irqmask previous); 描述用户在操作函数时, 必须重新回到由 providedl_irqmask previous 所确定的状态

86 节点配置 LIN API 规范版本 年 9 月 23 日 ;P16 3. 节点配置 LIN 节点配置 API( 应用程序接口 ) 拥有一组函数 ; 其目的是为 API 提供一个单独的名称空间, 以便能够减少与现有软件发生冲突的风险 所有的函数和类型都有前缀 Id- ( 小写的 LD 和一个下划线 ) LIN 节点配置正确操作的一个要求是 : 激活的进度表需包含两个按先后次序排列的诊断帧 ( 主机请求帧和从机响应帧 ) 如果主机并不担心响应问题( 不推荐 ), 那么在进度表中包含主机请求帧就足够了 注释 : LIN 节点配置 API 中的所有调动都只针对主机节点 从机节点自动控制节点配置 任何初始化需求都应由 LIN 内核模块 call l-sys-init 自动执行 ld_is_ready 动态原型 l_bool ld_is_ready (l_ifc_handle iii); 描述如果指定接口的诊断模块已经为接受新命令做好了准备, 那么该函数的程序返回则为真实的 这也意味着先前的命令已经完成, 如 : 响应有效并可以被分析 注释 : 只有在主机中才可以进行调用 除非以前的调用已经完成, 否则您无法发布下一个节点配置 API 调用, 即 :ld-is-ready 已经返回, 且函数值是为真实的 执行注释 : ld-is-ready 应当通过任何强制调用来清除 ( 除了 ld-is-ready 和 ld-check-response 之外的所有调用 ) 当主机请求帧和从机响应帧完成之后, 应该设置 ld-is-ready( 从机响应帧受到控制 ) 如果从机响应帧没有紧随在主机请求帧之后, 或者如果从机不响应, 当下一个帧槽正在被处理时,ld-is-ready 也应该被设置 在后一种情况下, 模块应该记录请求失败的信息 ( 以防应用程序调用 ld-check-response)

87 节点配置 LIN API 规范版本 年 9 月 23 日 ;P Id-check-response 动态原型 l_u8 ld_check_response (l_ifc_handle iii, l_u8* RSID, l_u8* error_code); 描述本程序会返回最后一个节点配置调用的全部结果 RSID 以及 error_code( 错误码 ) 也被返回, 以便可以进行更加细致的分析 结果判读如下 : LD-SUCCESS 函数调用已经成功实行 LD-NEGATIVE 调用失败, 可以通过错误码的解析获得更多信息 LD-NO-RESPONSE 请求没有得到响应 LD-OVERWRITING 从机响应帧被另外的操作盖写, 即 : 结果丢失 5 注释 : 只有在主机里面才能被调用 执行注释 : 如果从机不响应, 程序可能不会响应 LD-SUCCESS 但是,RSID 和 error_code 的值可能会返回一个成功的回复 ( 这允许程序直接从响应 RAM 缓冲器中读取 RSID 和 error_code ) 3.03 Id-assign-NAD 动态原型 void ld_assign_nad (l_ifc_handle iii, l_u8 NAD, l_u16 supplier_id, l_u16 function_id, l_u8 new_nad); 注释 5: 只有当机群同时使用接点配置以及诊断运输层时, 才会发生此类情况, 见章节 4

88 节点配置 LIN API 规范版本 年 9 月 23 日 ;P18 描述该函数的调用可以将所有与 NAD 匹配的从机节点的 NAD( 节点地址诊断 ) 进行赋值 配合的所有从机节点, 供应者识别码, 以及操作识别码的 NAD 赋值 那些节点的新 NAD 将会是 new-nad 注释 : 只有主机才能进行调用 在此调用中, 可以使用 LD-BROADCAST, LD-ANY-SUPPLIER 和 / 或 LD-ANY-FUNCTION ( 假使簇内所有的节点都有唯一的供应者 / 函数 ID) 此调用的目的在于改变 LIN 簇内使用离架从节点或者再使用的接点构建的相冲突的 NADS Id-assign-frame-id 动态原型 void ld_assign_frame_id (l_ifc_handle iii, l_u8 NAD, l_u16 supplier_id, l_u16 message_id, l_u8 PID); 描述此调用给在有地址 NAD 的从机节点内的桢的受保护的标识符, 以及指定的供应者 ID 赋值 变化了的桢应该拥有指定的信息 ID 并且将在调用后象受保护的标识符一样获得 PID 注释 : 只有主机才能进行调用 在此调用中, 可以使用 LD-BROADCAST, LD-ANY-SUPPLIER 和 / 或 LD-ANY-FUNCTION ( 假使簇内所有的节点都有唯一的供应者 / 函数 ID) Id-read-by-id 动态原型 void ld_read_by_id (l_ifc_handle iii, l_u8 NAD, l_u16 supplier_id, l_u16 function_id, l_u8 id, l_u8* const data);

89 节点配置 LIN API 规范版本 年 9 月 23 日 ;P19 描述此调用要求与 NAD 一起选择的节点返回与 ld 参数相关的属性 当对 ld-is-ready 的下次调用返回正确时, 根据要求, 通过数据详细说明了的 RAM 区包含 1 到 5 字节的数据 注释 : 只有主机才能进行调用 表 3.1 展示了 ld 的可能值 表 3.1 可以通过使用 ld-read-by-id 进行阅读 ld 解释 0 LIN 产品标识 1 序列号 2-15 保留 信息 ID 自定义 保留执行注释结果以 big-endian 方式 ( 高位字节 ( 序列中最重要的值 ) 先存放在低地址处的顺序 ) 返回 little-endian 中央处理器交换字节, 而不是 LIN 诊断驱动程序 ( 使用 big-endian 数据的原因是为了简化信息行程安排到一个 CAN 骨干网 ld-conditional-change-nad 动态原型 void ld_conditional_change_nad (l_ifc_handle iii, l_u8 NAD, l_u8 id, l_u8 byte, l_u8 mask, l_u8 invert, l_u8 new_nad); 描述如果节点属性能达到 id, 字节, 电路模板, 以及倒置指定的检验标准, 调用就会改变 NAD, 请参考 LIN 诊断规范 应该在 0 到 31 的范围内, 请参考表 3.1, 并且字节在 1 到 5 的范围内 ( 详细说明 id 中使用的字节 ) 电路模板, 以及倒置的值应该在 0 到 255 之间 注释 : 只有主机才能进行调用

90 诊断传送层 LIN API 规范版本 年 9 月 23 日 ;P20 4. 诊断传送层 LIN 传送层 API( 应用程序接口 ) 拥有一组基于为 API 提供一个单独的名字空间的函数, 以减少与现有的软件发生冲突的风险 所有的函数和种类都将加上前缀 Id- ( 紧接着一条 底线 的小写字母 LD ) 要使用 LIN 诊断传送层 API, 就要求了解下面的协议 相关的信息可以在 LIN 诊断和配置规范中找到 LIN 诊断传送层旨在通过主机节点在 CAN 骨干网中的测试设备和 LIN 次机节点之间传递 ISO 诊断请求 / 响应 由于 CAN 骨干网中的 ISO PDUs 与 LIN 诊断桢相当相似, 于是提供了 Raw API Raw API 是基于桢 /PDU 上的, 需要依靠应用程序控制 PCI 信息 特点是 : 当源点是在基于 CAN 的 ISO PDUs 时, 或者 API 需要很少的源点 (RAM 和 CPU 周期 ) 时, 这就相当的简单 选择性的 API 是以信息为基础的 为指示器提供一个信息缓冲器, 开始传递,LIN 诊断驱动器就会进行包装和拆包, 即 : 起作一个传送层的作用 这在从机节点中很有用, 因为它们会对信息进行分解 注释系统的行为在病理状况下是不明确的, 而此时应用程序试图通过 Raw API 和 cooked API 处理一个单桢 4.1 RAW API 执行注释 Raw API 是基于传送 PDU s 的, 它被用于 CAN 和 LIN 之间的网关 PDUs 如果网络速度不同, 一个关于传送以及接受的 FIFO( 先入先出 ( 法 )) 函数是非常有用的 LIN 诊断模块的所有执行时, 鼓励结合 FIFO 法以使得它们的大小在系统生成时可进行配置 ld-put-raw 动态原型 void ld_put_raw (l_ifc_handle const l_u8* const iii, data);

91 诊断传送层 LIN API 规范版本 年 9 月 23 日 ;P21 描述调用排列字节数据规定的 8 字节传送注释数据在下一个适当的桢中被传送 ( 用于主机节点的主机请求桢和用于从机节点的从机响应帧 ) 执行注释调用时, 必须拷贝数据区, 不允许只记住指示器 ld-get-raw 动态原型 void ld_get_raw (l_ifc_handle iii, l_u8* const data); 描述 : 调用将最老的, 被认为标准的诊断桢拷贝到数据规定的存储器中 注释 : 返回的数据是从适当的桢处接收到的 ( 用于从机节点的主机请求桢和用于主机节点的从机响应帧 ) 执行注释 : 如果接收程序是空的, 不要采取任何行动 ld-raw-tx-status 动态原型 l_u8 ld_raw_tx_status (l_ifc_handle iii); 描述 : 调用返回 Raw frame 传送函数的地位 LD-QUEUE- FULL 传送排列完全并且不能再接受更多的桢了 LD-QUEUE- EMPTY 传送排列空间空闲 LD-TRANSFER-ERROR 传送过程中发生 LIN 协议错误, 中断并且重新传送

92 诊断传送层 LIN API 规范版本 年 9 月 23 日 ;P22 注释 : 怎样终止传送不属于标准的一部分 ld-raw-rx-status 动态原型 l_u8 ld_raw_rx_status (l_ifc_handle iii); 描述 : 调用返回 Raw frame 传送函数的地位 LD-DATA-AVAILABLE 接收排列包含可读的数据 LD-TRANSFER-ERROR LIN 协议错误在传送过程中产生, 终止, 以及重新传送 注释 : 怎样终止传送不属于标准的一部分 4.2 COOKED API 执行注释 : 诊断信息的计划处理一次只能处理一条信息 因此, 不需要执行信息 FIFO, 也不需在应用程序缓冲器和要诊断模块的缓冲器中复制信息 ld-send-message 动态原型 void ld_send_message (l_ifc_handle iii, l_u16 length, l_u8 NAD, const l_u8* const data); 描述 : 调用把数据和长度所规定的信息压缩在一个或多个诊断桢中 如果调用发生在一个主机节点中, 桢就被发送到有地址的节点 NAD( 从机节点把它们发送到主机 ) 注释 : 在数据区,SID( 或 RSID) 应该是第一字节并且它应该包含在长度中 长度的范围必须是 1 到 4095 字节 参数 NAD 不被使用于从机节点, 而是内置的, 以产生一个公用 API

93 诊断传送层 LIN API 规范版本 年 9 月 23 日 ;P23 调用应该立刻返回调用, 即 : 不要延缓到信息已经被传送出去, 以及在对 ld-tx-status 的调用不返回 LD-IN-PROGRESS 的情况下, 缓冲器不会发生改变 当桢对随后的适当的桢页首产生响应时, 数据就被传出 ( 用于主机节点的主机请求桢和用于从机节点的从机响应帧 ) 如果先前的传输仍然在进行时, 调用是非法的 ld-receive-message 动态原型 void ld_receive_message (l_ifc_handle iii, l_u16* length, l_u8* NAD, l_u8* const data); 描述调用使得 LIN 诊断模块准备好接收一条信息并且把它储存在数据指向的缓冲器中 所有的调用长度应该详细说明允许的最大长度值 当接收完成后, 长度就变为实际长度,NAD 变成信息内的 NAD( 只适用于主机节点 ) 注释在数据区,SID( 或 RSID) 应该是第一字节并且它应该包含在长度中 长度的范围必须是 1 到 4095 字节 但是任何时候都不能超过调用原始设定值 参数 NAD 不被使用于从机节点, 而是内置的, 以产生一个公用 API 调用应该立刻返回调用, 即 : 不要延缓到信息已经被传送出去, 以及在对 ld-rx-status 的调用不返回 LD-IN-PROGRESS 的情况下, 缓冲器不会发生改变 如果调用 太迟 即: 在信息传送开始后, 调用应该等待下一条信息 从随后的适当的桢处接收数据,( 用于用于从机节点的主机请求桢和主机节点的从机响应帧 ) 如果先前的传输仍然在进行时, 调用是非法的 即 :ld-rx-status 的调用返回 LD-IN-PROGRESS ld-tx-status 动态原型 l_u8 ld_tx_status (l_ifc_handle iii);

94 诊断传送层 LIN API 规范版本 年 9 月 23 日 ;P24 描述 : 调用返回对 ld-send-message 的最后调用的状态 可以返回如下值 : LD-IN-PROGRESS 传送还没有完成 LD-COMPLETED 传送已经成功完成 ( 你可以进行新的 ld-send-message 调用 ) LD-FAILED 传送以出错误告终 数据只传送了一部分 ( 你可以进行新的 ld-send-message 调用 ) 注释为了弄明白为什么传送失败, 检查 LIN 核心中的状态管理函数 ld-rx-status 动态原型 l_u8 ld_rx_status (l_ifc_handle iii); 描述 : 调用返回对 ld-receive-message 的最后调用的状态 可以返回如下值 : LD-IN-PROGRESS 接收还没有完成 LD-COMPLETED 接收已经成功完成, 获得了所有的信息 ( 长度,NAD, 数据 )( 你可以进行新的 ld-receive-message 调用 ) LD-FAILED 接收以出错误告终 数据只接收了一部分并且应该是不可信任的 ( 你可以进行新的 ld-receive-message 调用 ) 注释 : 为了弄明白为什么接收失败, 检查 LIN 核心中的状态管理函数.

95 示例文件 LIN API 规范版本 年 9 月 23 日 ;P25 5. 示例 在接下来的章节里, 提供了一个示例, 以展示 API 是怎样被使用的 除了 LIN 描写文外, 还展示了 5.1 LIN 核心 API 用法 C 应用码 /********************************************************************** * File: hello.c * Author: Christian Bondesson * Description: Example code for using the LIN API in a LIN master node * NOTE! This example uses the static API */ #include "lin.h" /********************************************************************** * PROCEDURE : l_sys_irq_restore * DESCRIPTION : Restores the interrupt mask to the one before the call to * l_sys_irq_disable was made * IN : previous - the old interrupt level */ void l_sys_irq_restore (l_imask previous) { /* Some controller specific things... */ } /* l_sys_irq_restore */ /********************************************************************** * PROCEDURE : l_sys_irq_disable * DESCRIPTION : Disable all interrupts of the controller and returns the * interrupt level to be able to restore it later */ l_imask l_sys_irq_disable (void) { /* Some controller specific things... */ } /* l_sys_irq_disable */ /********************************************************************** * INTERRUPT : lin_char_rx_handler * DESCRIPTION : LIN recieve character interrupt handler for the * interface named LIN_ifc */ void INTERRUPT lin_char_rx_handler (void) { /* Just call the LIN API provided function to do the actual work */ 联系方式 :H.-Chr. v. d. Wense, 摩托罗拉有限公司,Schatzbogen 7,D 慕尼黑, 德国 电话 : +49 (89) H.Wense@Motorola.com

96 示例文件 LIN API 规范版本 年 9 月 23 日 ;P26 l_ifc_rx_mylinifc (); } /* lin_char_rx_handler */ /********************************************************************** * INTERRUPT : lin_char_tx_handler * DESCRIPTION : LIN transmit character interrupt handler for the * interface named LIN_ifc */ void INTERRUPT lin_char_tx_handler (void) { /* Just call the LIN API provided function to do the actual work */ l_ifc_tx_mylinifc (); } /* lin_char_tx_handler */ /********************************************************************** * PROCEDURE : main * DESCRIPTION : Main program... initialization part */ void main (void) { /* Initialize the LIN interface */ if (l_sys_init ()) { /* The init of the LIN software failed */ } else { l_ifc_init_mylinifc (); /* Initialize the interface */ if (l_ifc_connect_mylinifc ()) { /* Connection of the LIN interface failed */ } else { /* Connected, now ready to send/receive set the normal * schedule to run from beginning for this specific interface */ l_sch_set_mylinifc (MySchedule1, 0); } } start_main_application (); /* Ready with init, start actual applic */ } /* main */ /* 10 ms based on the minimum LIN tick time, in LIN description file... */ void main_application_10ms (void)

97 { /* Do some application specific stuff... */

98 示例文件 LIN API 规范版本 年 9 月 23 日 ;P27 /* Just a small example of signal reading and writing */ if (l_flg_tst_rxinternallightsswitch ()) { l_u8_wr_internallightsrequest (l_u8_rd_internallightsswitch()); l_flg_clr_rxinternallightsswitch (); } /* In-/output of signals, do not care about the return value, as we * will never switch schedule anyway... */ (void) l_sch_tick_mylinifc(); } /* main_application_10ms */ 5.2 LIN 描写文件 /********************************************************************** * File: hello.ldf * Author: Christian Bondesson * Description: The LIN description file for the example program */ LIN_description_file ; LIN_protocol_version = "2.0"; LIN_language_version = "2.0"; LIN_speed = 19.2 kbps; Nodes { Master: CEM, 5 ms, 0.1 ms; Slaves: LSM; } Signals { InternalLightsRequest: 2, 0, CEM, LSM; InternalLightsSwitch: 2, 0, LSM, CEM; } Frames { VL1_CEM_Frm1: 1, CEM { InternalLightsRequest, 0; } VL1_LSM_Frm1: 2, LSM { InternalLightsSwitch, 0; } } Schedule_tables { MySchedule1 { VL1_CEM_Frm1 delay 15 ms; VL1_LSM_Frm1 delay 15 ms; }

99 } Signal_encoding_types { Dig2Bit { logical_value, 0, "off"; logical_value, 1, "on"; logical_value, 2, "error"; logical_value, 3, "void"; } } Signal_representations { Dig2Bit: InternalLightsRequest, InternalLightsSwitch; }

100 LIN 节点能力版本 年 9 月 23 日 ;P1 LIN 节点能力语言规范 版本 2.0 本规范是在 ASIS 的基础上形成的, 不可以作为任何索赔的依据 LIN 协会 版权所有 未经授权的复印 演示 或对本文件其他方面的使用, 都是 违反法律和知识产权的 LIN 是一个注册商标. 本文件的任何分发都登记在案

101 引言 LIN 节点能力版本 年 9 月 23 日 ;P2 1 引言 LIN 节点能力语言旨在能够用一个标准化的 机器可读的函数描述从机节点可能的 操作 在未来的几年里, 利用预制的现货从节点有望增加 如果它们都附加有节点能力 文件, 就有可能生成 LIN 规范包 ( 请参考 LIN 配置语言规范 ) 和主机节点的初始化代码 1 如果任何的 LIN 簇的设置和配置都完全是自动的, 那么将朝 LIN 即插即用的功能发 展迈出一大步 换言之, 在 LIN 簇中使用分布式节点就好比将带有物理设备的中央处理 器单节点直接连接到节点上一样简单 1.1 即插即用工作流图 1.1 显示了 LIN 簇分裂在三个区域的发展 : 设计, 调试, 和 LIN 物理体系 此说明集中在设计阶段 图 1.1: LIN 簇的发展 系统生成 LIN 簇的核心描述文件是指节点能力文件 (LDF) 在此文件基础上, 就有可能生成簇内所有节点的通信驱动器 此过程被称为系统生成 在此簇类的所有帧以及信号都会被显示 注释 1: 代码应该设置簇, 比如 : 重新设置相冲突的标识符

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

PSoC Creator 组 件 数 据 手 册 定 义 在 此 数 据 表 中 给 出 的 许 多 定 义 符 合 2.1 规 范 在 这 些 情 况 下, 如 需 正 确 了 解 术 语 定 义, 请 参 见 2.1 规 范 的 相 关 章 节 输 入 / 输 出 连 接 本 节 介 绍 从 器

PSoC Creator 组 件 数 据 手 册 定 义 在 此 数 据 表 中 给 出 的 许 多 定 义 符 合 2.1 规 范 在 这 些 情 况 下, 如 需 正 确 了 解 术 语 定 义, 请 参 见 2.1 规 范 的 相 关 章 节 输 入 / 输 出 连 接 本 节 介 绍 从 器 从 器 件 1.0 特 性 完 全 实 现 2.1 或 2.0 Slave Node( 从 器 件 节 点 ) 符 合 SAE J2602-1 规 范 自 动 同 步 波 特 率 完 全 实 现 Diagnostic Class I Slave Node( 诊 断 1 类 从 器 件 节 点 ) 完 全 支 持 传 输 层 自 动 检 测 总 线 闲 置 错 误 检 测 自 动 配 置 服 务 处

More information

Conductix-Wampfler Inductive Power Transfer IPT 2

Conductix-Wampfler Inductive Power Transfer IPT 2 IPT 产品简介 非接触式动力和数据传输系统 Conductix-Wampfler Inductive Power Transfer IPT 2 Conductix-Wampfler Inductive Power Transfer IPT 3 9 1 2 3 4 5 6 7 8 4 2 3 4 1 8 5 7 9 6 ² ² ² - 无限的应用可能性... 用于变速箱总装线上的 AGV 小车

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

1 CAN 69 4 接受滤波 CAN CRC LLC 超载通知数恢复管理 CAN 据数据封装 / 拆装 5 链帧编码 ( 填充 / 解除 ) 媒体访问管理 路 CAN 应答 SAE J CAN 1 1 CAN 层 1CAN CAN 7 CAN 1 2 2CAN CAN 1 58%

1 CAN 69 4 接受滤波 CAN CRC LLC 超载通知数恢复管理 CAN 据数据封装 / 拆装 5 链帧编码 ( 填充 / 解除 ) 媒体访问管理 路 CAN 应答 SAE J CAN 1 1 CAN 层 1CAN CAN 7 CAN 1 2 2CAN CAN 1 58% 17 1 2012 2 Vol 17 No 1 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Feb 2012 CAN 150080 CAN CAN CAN SAE J1939 CAN CAN CAN CAN SAE J1939 TP23 A 1007-2683201201- 0068-06 A Design Method of CAN

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

Guava学习之Resources

Guava学习之Resources Resources 提供提供操作 classpath 路径下所有资源的方法 除非另有说明, 否则类中所有方法的参数都不能为 null 虽然有些方法的参数是 URL 类型的, 但是这些方法实现通常不是以 HTTP 完成的 ; 同时这些资源也非 classpath 路径下的 下面两个函数都是根据资源的名称得到其绝对路径, 从函数里面可以看出,Resources 类中的 getresource 函数都是基于

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 The BitCoin Scripting Language 交易实例 交易结构 "result": { "txid": "921a dd24", "hash": "921a dd24", "version": 1, "size": 226, "locktime": 0, "vin": [ ], "vout": [ ], "blockhash": "0000000000000000002c510d

More information

Chapter #

Chapter # 第三章 TCP/IP 协议栈 本章目标 通过本章的学习, 您应该掌握以下内容 : 掌握 TCP/IP 分层模型 掌握 IP 协议原理 理解 OSI 和 TCP/IP 模型的区别和联系 TCP/IP 介绍 主机 主机 Internet TCP/IP 早期的协议族 全球范围 TCP/IP 协议栈 7 6 5 4 3 应用层表示层会话层传输层网络层 应用层 主机到主机层 Internet 层 2 1 数据链路层

More information

LIN入门

LIN入门 概要 本资料面向 LIN 总线初学者, 对什么是 LIN,LIN 的特征, 物理层 协议层及应用层相关规定进行说明 本资料主要是针对 LIN2.1 讲解 使用注意事项 本资料对 LIN 协会所提出的 LIN 总线的概要及协议进行了归纳, 可作为实际应用的参考资料, 对于具有 LIN 功能的产品不负任何责任 R01AN0348CC0100_AUTOMOTIVE Rev.1.00 Page 1 of 76

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

社会科学战线 年第 期跨学科研究 ( ),, (, ),,, 1 ( ), ( -, ),,,,,,,,, (, ) ( ),,,,,,,,,,,, ( ) ( ),,,, ;,,,,,,, ( ),,,,,,,, ( ), ( ),,,,, :,,, (,, ),,, :,, ( % ),,,,,

社会科学战线 年第 期跨学科研究 ( ),, (, ),,, 1 ( ), ( -, ),,,,,,,,, (, ) ( ),,,,,,,,,,,, ( ) ( ),,,, ;,,,,,,, ( ),,,,,,,, ( ), ( ),,,,, :,,, (,, ),,, :,, ( % ),,,,, : 汪丁丁贾拥民 (, ) 本文是一个从理论出发, 最终又回到理论的 案例研究 在特定的社会网络中, 人与人之间的交互作用形成习俗 习俗如果能够经受住不断发生的独僻性冲击, 就可以成为传统 这是对梅纳德史密斯的演化稳定策略概念的拓展 独僻性相当于变异或者突变, 演化稳定策略只经受了一次独僻性的冲击, 只有在随机地不断出现的冲击下保持稳定的习俗, 才能成为培顿杨所定义的传统, 这就是随机稳定均衡 义乌市场的发展,

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

HP and Canon 单色通用芯片表 SCC 芯片 图片 HP 700 M712, 700 M725 CF214X (14X) 17.5 HP 5200 Q7516A U16-2CHIP SSS 846 芯片记号 (U16-2) Canon LBP-3500, LBP-3900, LBP-392

HP and Canon 单色通用芯片表 SCC 芯片 图片 HP 700 M712, 700 M725 CF214X (14X) 17.5 HP 5200 Q7516A U16-2CHIP SSS 846 芯片记号 (U16-2) Canon LBP-3500, LBP-3900, LBP-392 HP and Canon 单色通用芯片表在线访问我们的网站, 可以得到更多的信息 : www.scc-inc.com/chipcenter 全部开始都是专利通用芯片一个芯片, 多个不同型号的硒鼓 注意 : 当在这个文档上要寻找一个特殊的 或打印机的型号时, 在你的键盘上同时按 CTRL 键和 F 键就能搜索到 HP and Canon 单色通用芯片表 SCC 芯片 图片 HP 700 M712, 700

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode]

Microsoft PowerPoint - BECKHOFF技术_ADS通讯 [Compatibility Mode] 的架构 ADS 的通讯机制 ADS-Client Request -> Confirmation Indication

More information

+00DE _01EN.book

+00DE _01EN.book TCS-NET MODBUS TCS-NET Modbus TCB-IFMB640TLE 1...... 2 2 RS 485... 3 3... 3 4... 4 5... 4 6... 5 7... 6 8... 16 TCS-NET Modbus 1 http://www.modbus-ida.org/ >=3.5 8 8 N*8 ( N = 252.) 16 >= 3.5 Modbus-Master

More information

A-946 目录 简介... 1 运行 ADuC703x 加载程序... 1 分组结构... 4 片内加载程序中实现的命令... 5 指定 AD... 5 按标识符读取... 5 擦除例程... 6 下载... 6 传输数据... 7 校验例程... 7 ECU 复位... 7 LI 编程实用工具实

A-946 目录 简介... 1 运行 ADuC703x 加载程序... 1 分组结构... 4 片内加载程序中实现的命令... 5 指定 AD... 5 按标识符读取... 5 擦除例程... 6 下载... 6 传输数据... 7 校验例程... 7 ECU 复位... 7 LI 编程实用工具实 A-946 One Technology Way P.O. Box 9106 orwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com 通过 LI 协议 6 进行 Flash/EE 存储器编程 作者 :Aude Richard 简介 ADuC703x 系列器件的一个主要特性是能够将代码在线下载至片内

More information

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i 目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 1-1 1.1 控制卡 1-1 1.2 GPU 卡 1-5 1.3 网卡 1-8 1.4 FC HBA 卡 1-21 1.5 TPM/TCM 模块 1-29 1.6 NVMe SSD PCle 加速卡 1-31 i 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 本手册为产品通用资料 对于定制化产品, 请用户以产品实际情况为准

More information

ChinaBI企业会员服务- BI企业

ChinaBI企业会员服务- BI企业 商业智能 (BI) 开源工具 Pentaho BisDemo 介绍及操作说明 联系人 : 杜号权苏州百咨信息技术有限公司电话 : 0512-62861389 手机 :18616571230 QQ:37971343 E-mail:du.haoquan@bizintelsolutions.com 权限控制管理 : 权限控制管理包括 : 浏览权限和数据权限 ( 权限部分两个角色 :ceo,usa; 两个用户

More information

电子缝纫机 了解缝纫机 缝制基础知识 产品型号 : 885-V60/V61/V62/V63/V64/V65 实用针迹 附录 欢迎访问 常见问题解答 (FAQs) 在这里可以得到产品的支持和

电子缝纫机 了解缝纫机 缝制基础知识 产品型号 : 885-V60/V61/V62/V63/V64/V65 实用针迹 附录 欢迎访问   常见问题解答 (FAQs) 在这里可以得到产品的支持和 电子缝纫机 了解缝纫机 缝制基础知识 产品型号 : 885-V60/V61/V62/V63/V64/V65 实用针迹 附录 欢迎访问 http://solutions.brother.com 常见问题解答 (FAQs) 在这里可以得到产品的支持和 1 2 3 1 4 5 6 7 2 8 3 ...1 1.... 6... 6... 6... 7... 7... 8... 8... 9... 9...

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

本章学习目标 小风 Java 实战系列教程 SpringMVC 简介 SpringMVC 的入门案例 SpringMVC 流程分析 配置注解映射器和适配器 注解的使用 使用不同方式的跳转页面 1. SpringMVC 简介 Spring web mvc

本章学习目标 小风 Java 实战系列教程 SpringMVC 简介 SpringMVC 的入门案例 SpringMVC 流程分析 配置注解映射器和适配器 注解的使用 使用不同方式的跳转页面 1. SpringMVC 简介 Spring web mvc 本章学习目标 SpringMVC 简介 SpringMVC 的入门案例 SpringMVC 流程分析 配置注解映射器和适配器 配置视图解析器 @RequestMapping 注解的使用 使用不同方式的跳转页面 1. SpringMVC 简介 Spring web mvc 和 Struts2 都属于表现层的框架, 它是 Spring 框架的一部分, 我们可 以从 Spring 的整体结构中看得出来 :

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个 china.rs-online.com Every part matters china.rs-online.com/rspro RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

2014 年 87 月 259 日 K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V3.200 容量 供应商 系列 型号 格式 可用性 兼容性能 备注 500G Seagate Pipeline HD2 ST CS - 可用 Seagate Pi

2014 年 87 月 259 日 K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V3.200 容量 供应商 系列 型号 格式 可用性 兼容性能 备注 500G Seagate Pipeline HD2 ST CS - 可用 Seagate Pi 纠正点从 2014 年 5 月 12 日的版本开始 我们缺少的 4TB 硬盘的型号 : WJ-ND400 / 和 WJ-HD616K / WJ-716K / WJ-ND400 WJ-HD616K WJ-HD716K 4TB 红 40PURX-64GVNY0 AF OK 4TB 红 40EFRX-68WT0N0 AF OK 纠正点 1 2014 年 87 月 259 日 K-HW508K / HW516K

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

%!

%! 黑龙江社会科学 李春玲 经济改革以来 随着中国经济稳定发展 一个被称之为中产阶级! 的社会群体逐步增长 尤其 自本世纪开始以来 由于连续多年的高速经济增长和城市化的迅速推进以及物质文化水平的提高 中产人群 数量增长更为明显 它已成为一个具有相当规模并有极大社会影响的社会群体 不过 中国社会目前还是以农民和工人占绝大多数的社会结构 要发展成为以中产阶级为主体的社会还需要一个相当长的时期 另外 作为一个正在形成的社会阶层

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

01

01 Zebra Technologies 白皮书 移动打印给仓储运营带来显著优势 综述 RFID RFID (RF) RFID RFID / ROI LAN 采用移动打印机, 享受显而易见的业务成效 - 49.74 28.11 Zebra 2 Zebra Technologies 移动打印机成本效益分析 示例数据固定式打印机移动打印机每年节省资金 10 10 8 8 48 48 3840 3840 15

More information

untitled

untitled 0755-0755- support@googoltech.com http://www.googoltech.com.cn (852) 2358-1033 (852) 2358-4931 info@googoltech.com http://www.googoltech.com/ GE http://www.googoltech.com.cn 075526970839 support@googoltech.com

More information

* r p . 4 6 12 3 5 7 8 9bk bm btbsbrbqbp bo bn bl [ ] [ ] [ ] [ ] [SET] 1 2 3 4 5 6 7. cmcl ck 8 9 0 bk bl bm bn bo 1 2 1 2+ - bp bq 8 2 4 6 br r bs p bt ck cl cm 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同 附加说明书 符合 DIN - EN - ASME - JIS - GOST 的法兰 技术参数 Document ID: 31088 1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 1.4404 或 1.4435 所有距离值以毫米为单位

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

3. 构造和动作原理 3.1 概要 主要元件有 开关机构 自动脱扣装置 带手动脱扣 按钮 触点 消弧装置 接线端子及塑壳 消弧装置 三菱的MCCB以栅极空隙 形状与 材料的最佳组合获得超群的消弧性 能 塑壳 上盖 磁束 塑壳 底座 栅极 电弧 磁力 消弧 触点 脱扣按钮 按下脱扣 可进行外部机械式脱扣 用于确认 附件开关和手动复位功能的动作 开关机构 触点快速开关 开关速度与操纵柄 的移动速度无关

More information

! " # " " $ % " " # # " $ " # " #! " $ "!" # "# # #! &$! ( % "!!! )$ % " (!!!! *$ ( % " (!!!! +$ % " #! $!, $ $ $ $ $ $ $, $ $ "--. %/ % $ %% " $ "--/

!  #   $ %   # #  $  #  #!  $ ! # # # #! &$! ( % !!! )$ %  (!!!! *$ ( %  (!!!! +$ %  #! $!, $ $ $ $ $ $ $, $ $ --. %/ % $ %%  $ --/ "##$ "% "##& " "##( )$ "##%! ) "##$ * "##( "##$ "##(!!!!!!!!! ! " # " " $ % " " # # " $ " # " #! " $ "!" # "# # #! &$! ( % "!!! )$ % " (!!!! *$ ( % " (!!!! +$ % " #! $!, $ $ $ $ $ $ $, $ $ "--. %/ % $

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

第 期 曹 源 等 形式化方法在列车运行控制系统中的应用

第 期 曹 源 等 形式化方法在列车运行控制系统中的应用 第 卷 第 期 年 月 交通运输工程学报 曹 源 唐 涛 徐田华 穆建成 为了确保列车运行控制系统设计和开发的正确性 比较了仿真 测试和形式化 种能够验证 系统设计正确性的方式 根据列车运行控制系统对安全的苛求性 提出了 个与系统安全相关的重要特性 即实时性 混成性 分布 并发 性 反应性 并分析了与这些特性相关的具体形式化方法 通 过对每种形式化方法的数学基础和应用范围的分析和归类 给出了各种方法的优势和不足

More information

K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V G Seagate Pipeline HD2 ST CS - 可用 Seagate Pipeline HD2 ST1000VM002 - 可用 1T Seagate SV35.5

K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V G Seagate Pipeline HD2 ST CS - 可用 Seagate Pipeline HD2 ST1000VM002 - 可用 1T Seagate SV35.5 注意请使用 5T 或 6T 的硬盘架 (WJ-ND400/ WJ-HDE400/WJ-HD716/WJ-HD616) 请不要在 5TB 或 6TB 硬盘底部安 2 颗螺丝 ( 下方标记 ), 因为螺丝要长于螺旋孔的深度 顶视图 底视图 1 K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V3.200 500G Seagate Pipeline HD2 ST3500312CS

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

工程项目进度管理 西北工业大学管理学院 黄柯鑫博士 甘特图 A B C D E F G 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 甘特图的优点 : 直观明了 ( 图形化概要 ); 简单易懂 ( 易于理解 ); 应用广泛 ( 技术通用 ) 甘特图的缺点 : 不能清晰表示活动间的逻辑关系 WBS 责任分配矩阵 ( 负责〇审批

More information

untitled

untitled CPX CPX-FB14 CANopen 8041142 zh 1411d ... de... zh 1411d... P.BE-CPX-FB14-ZH... 8041142 (Festo AG & Co. KG,:73726 Esslingen,,2013) : http://www.festo.com : service_international@festo.com,, Festo P.BE-CPX-FB14-ZH

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

PowerPoint Presentation

PowerPoint Presentation 第 13 章 有线局域网 : 以太网 13.1 Copyright The McGraw-Hill Companies, Inc. Permission required for reproduction or display. 13-1 IEEE 标准 1985 年, 计算机团体 IEEE( 电器与电子工程师学会 ) 开始了一个项目, 称为项目 802, 以设定标准使得不同制造商生产的设备之间能相互通信

More information

Microsoft Word - AN3259C

Microsoft Word - AN3259C www.maxim-ic.com.cn 应用笔记 3259 DS31256 Envoy - 寄存器转储列程 概述本应用笔记提供了将 DS31256 的寄存器 排队程序 描述符和 FIFO RAM 的内容转储到一个文件的程序代码 这些数据在 DS31256 无法正常工作时非常关键, 为进一步的研究和调试提供了重要信息 例如, 寄存器数据经过转储后可以显示每个 DS31256 寄存器的设置 为了保证正确地设置器件,

More information

2009 年第 6 期 高清总动员 35

2009 年第 6 期 高清总动员 35 要说 08 年最成功的高清机, 非三合一 F1/F2 莫属 它集中了国内不同的高清接收需求, 整合了当时能想到的各种功能, 为欣赏高清奥运, 满足高端发烧人士, 做出了贡献 F1/F2 的成功, 说明不依赖进口, 我们也有能力打造顶级的高清机, 并且更适合国内的使用习惯 不过, 即使 F1/F2 的终极版, 也不兼容 ABS-S 或 ISDB-S, 没有网络功能, 不能 USB 录像等等, 有一定的局限性

More information

Chapter 3- 数据链路层 (1)-2017

Chapter 3- 数据链路层 (1)-2017 计算机网络 第三章数据链路层 (1) 陈旺虎 chenwh@nwnu.edu.cn 第 3 章数据链路层 3.1 使用点对点信道的数据链路层 3.2 点对点协议 PPP 3.3 使用广播信道的数据链路层 3.4 使用广播信道的以太网 3.5 扩展的以太网 3.6 高速以太网 3.7 其他类型的高速局域网接口 Review 计算机网络的体系结构 物理层 数据链路层的简单模型 主机 H 1 向 H 2

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

通信工程

通信工程 3/99 KROHNE ISO9002 00000443 12 100 7471 97051 KROHNE 0/4~20 ma (smart techology 1983 smart Krohne PROFIBUSModbus Krohne 19" Krohne Krohne smart- System HART R Krohne RS 458 CONFIG Modbus PROFIBUS DP PA

More information

980105

980105 臺 北 縣 政 府 95 年 度 自 行 研 究 報 告 淺 談 房 地 價 格 分 離 - 以 新 店 地 政 事 務 所 實 務 作 業 為 例 研 究 單 位 : 臺 北 縣 新 店 地 政 事 務 所 研 究 人 員 : 沈 菁 菁 研 究 期 程 :95 年 1 月 1 日 至 10 月 31 日 1 目 錄 壹 前 言 一 研 究 動 機 與 目 的 1 二 研 究 方 法 1 貳 地

More information

OOP with Java 通知 Project 4: 4 月 19 日晚 9 点

OOP with Java 通知 Project 4: 4 月 19 日晚 9 点 OOP with Java Yuanbin Wu cs@ecnu OOP with Java 通知 Project 4: 4 月 19 日晚 9 点 复习 类的复用 组合 (composition): has-a 关系 class MyType { public int i; public double d; public char c; public void set(double x) { d

More information

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数

OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 OOP with Java Yuanbin Wu cs@ecnu OOP with Java 通知 Project 4: 4 月 18 日晚 9 点 关于抄袭 没有分数 复习 类的复用 组合 (composition): has-a 关系 class MyType { public int i; public double d; public char c; public void set(double

More information

长 安 大 学 硕 士 学 位 论 文 基 于 数 据 仓 库 和 数 据 挖 掘 的 行 为 分 析 研 究 姓 名 : 杨 雅 薇 申 请 学 位 级 别 : 硕 士 专 业 : 计 算 机 软 件 与 理 论 指 导 教 师 : 张 卫 钢 20100530 长安大学硕士学位论文 3 1 3系统架构设计 行为分析数据仓库的应用模型由四部分组成 如图3 3所示

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

2015 MCCB Price Book

2015 MCCB Price Book 2015 年塑壳断路器价格表 此部分产品价格自 2015 年 3 月 28 日起正式执行 NSX100~250 固定式完整断路器 NSX400~630 固定式完整断路器 NSX100~250 插入式完整断路器 NSX400~630 插入式完整断路器 NSX100~250 抽出式完整断路器 NSX400~630 抽出式完整断路器 NSX100~250 用于电动机保护的完整塑壳断路器 NSX400~630

More information

MCCB EMI EMI

MCCB EMI EMI HD5L HD5L HD5L 4008-858 -959 189 4871 3800 MCCB EMI EMI ... 1 1.1... 1 1.2... 1 1.3... 2... 3 2.1... 3 2.2... 3 2.3... 4 2.4... 5 2.5... 6... 9 3.1... 9 3.2... 9 3.3... 10 3.4... 10 3.5... 12 3.6... 13...

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

IDEO_HCD_0716

IDEO_HCD_0716 IDEO HCD Toolkit Tencent CDC ...? Tencent CDC Tencent CDC Tencent CDC Tencent CDC Tencent CDC Tencent CDC Tencent CDC Tencent CDC Tencent CDC Tencent CDC Tencent CDC Tencent CDC Tencent CDC Tencent CDC

More information

概述

概述 OPC Version 1.6 build 0910 KOSRDK Knight OPC Server Rapid Development Toolkits Knight Workgroup, eehoo Technology 2002-9 OPC 1...4 2 API...5 2.1...5 2.2...5 2.2.1 KOS_Init...5 2.2.2 KOS_InitB...5 2.2.3

More information

<4D6963726F736F667420576F7264202D20323031352D342D3231D2C7B1EDCCD6C2DBB8E5B1E0D6C6CBB5C3F72E646F63>

<4D6963726F736F667420576F7264202D20323031352D342D3231D2C7B1EDCCD6C2DBB8E5B1E0D6C6CBB5C3F72E646F63> 电 动 自 行 车 用 仪 表 标 准 编 制 说 明 ( 征 求 意 见 稿 ) 一 任 务 来 源 和 制 定 过 程 本 标 准 制 订 项 目 由 工 业 和 信 息 化 部 下 达 项 目 编 号 2013-0061T-QB, 项 目 名 称 电 动 自 行 车 用 仪 表 电 动 自 行 车 是 节 能 环 保 的 绿 色 交 通 工 具, 是 适 合 国 情 的 个 人 短 途 交 通

More information

巨变 村落的终结 & ( ( ) (( & & + # ) # # # # + # #

巨变 村落的终结 & ( ( ) (( & & + # ) # # # # + # # 巨变 村落的终结 都市里的村庄研究 李培林 本文是中国发达地区村落终结过程的记录和分析 作者通过对广州市 城中村的调查发现 村落终结的艰难 并不仅仅在于生活的改善 也不仅仅是非农化和工业化的问题 甚至也不单纯是变更城乡分割的户籍制度问题 而在于它最终要伴随产权的重新界定和社会关系网络的重组 作者试图通过建立具有普遍解释力的村落终结类型 建构村落城市化整个链条的最后一环 以便能够在理论上复制中国改革开放以后村落非农化

More information

石油与天然气地质 杨少春 信荃麟 断块油藏测井解释模型的建立 资料的处理及储层评价应始终考虑地质因素的影响 不同类型储层 不同沉积相带以及不同开发时期的测井响应 岩性 物性 韵律性 电性及含水率等均不相同 根据这些差异和特点 分别建立了孔隙度 渗透率和含油饱和度等参数的解释模型和计算模型 提高了解释精度 勘探和开发阶段测井资料的处理除应考虑岩性 沉积相带 注水后储层结构变化外 还应考虑断块的复杂性及断块之间的联系

More information

计算机网络

计算机网络 (delay latency) = + + LEC. A B A 0 0 0 B .6. = 0ms, 0Mb/s, 0x0 - x0x0 =x0 bit RTT RTT (Round-Trip Time) .7 OSI/RM OSI/RM OSI/RM TCP/IP (application layer) (transport layer) (network layer)

More information

获取 Access Token access_token 是接口的全局唯一票据, 接入方调用各接口时都需使用 access_token 开发者需要进行妥善保存 access_token 的存储至少要保留 512 个字符空间 access_token 的有效期目前为 2 个小时, 需定时刷新, 重复

获取 Access Token access_token 是接口的全局唯一票据, 接入方调用各接口时都需使用 access_token 开发者需要进行妥善保存 access_token 的存储至少要保留 512 个字符空间 access_token 的有效期目前为 2 个小时, 需定时刷新, 重复 获取 Access Token access_token 是接口的全局唯一票据, 接入方调用各接口时都需使用 access_token 开发者需要进行妥善保存 access_token 的存储至少要保留 512 个字符空间 access_token 的有效期目前为 2 个小时, 需定时刷新, 重复 获取将导致上次获取的 access_token 失效 接入方可以使用 AppID 和 AppSecret

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

XGW1-12户外环网柜.indd

XGW1-12户外环网柜.indd ABOUT CREAT 信誉等级 科锐公司连续被评为国内信誉等级最高的 AAA 级企业 ISO 9001 质量论证体系 2002 年通过了中国新时代认证中心 ISO9001:2000--GB/T19001-2000 质量体系认证 2006 年通过了北京新世纪认证中心 ISO9001:2000--GB/T19001-2000 质量体系认证 2008 年通过了北京新世纪认证中心 ISO9001:2000--GB/T19001-2000

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

4.C ( 详细解析见视频课程 绝对值 01 约 21 分 15 秒处 ) 5.E ( 详细解析见视频课程 绝对值 01 约 32 分 05 秒处 ) 6.D ( 详细解析见视频课程 绝对值 02 约 4 分 28 秒处 ) 7.C ( 详细解析见视频课程 绝对值 02 约 14 分 05 秒处 )

4.C ( 详细解析见视频课程 绝对值 01 约 21 分 15 秒处 ) 5.E ( 详细解析见视频课程 绝对值 01 约 32 分 05 秒处 ) 6.D ( 详细解析见视频课程 绝对值 02 约 4 分 28 秒处 ) 7.C ( 详细解析见视频课程 绝对值 02 约 14 分 05 秒处 ) [ 说明 ] 1. 以下所指教材是指朱杰老师的 管理类联考综合能力数学套路化攻略 2. 该文档中所标答案和参见的教材答案, 与视频有冲突的, 以视频答案为准! 基础篇 第 1 章 数 1.2.1 整数例题答案 : 1. A ( 详细解析见教材 P7 例 2) 2. D ( 详细解析见视频课程 数的性质 约 10 分 53 秒处 ) 3. C ( 详细解析见教材 P7 例 3) 4.E ( 详细解析见视频课程

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

册子0906

册子0906 IBM SelectStack ( PMC v2.0 ) 模块化私有云管理平台 是跨主流虚拟化技术的统一资源云管理平台 01 亮点 : 快速可靠地实现集成化 私有云管理平台 02/03 丰富的功能支持企业数据中心云计算 扩展性强 : 简单易用 : 04/05 功能丰富 : 06/07 为什么选择 IBM SelectStack (PMC v2.0)? 快速实现价值 提高创新能力 降低 IT 成本 降低复杂度和风险

More information

大侠素材铺

大侠素材铺 编译原理与技术 词法分析 Ⅱ 计算机科学与技术学院李诚 13/09/2018 主要内容 记号 (token) 源程序 词法分析器 getnexttoken 语法分析器 符号表 词法分析器的自动生成 正则表达式 NFA DFA 化简的 DFA 词法分析器的生成器 Lex: flex jflex Fst lexicl nlyzer genertor 2/51 Regulr Expr to NFA 正则表达式

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

untitled

untitled FBC0409 V1.0 1.0 05.06.22 SIA 2005 SIA SIA SIA SIA SIA 114 86-24-23970133 HTTP://WWW.SIA.CN YANG@SIA.CN 2 ...5...5...6 PIN...6...7 1 CPU...8 2...8 4...8 5 DMA...9 7....9 8...9 9...10 A...10 B...10...11.

More information

路由器基本配置

路由器基本配置 路由器基本配置 本章内容 路由器的基本操作 实验练习 常用的路由器配置方法 TFTP Console MODEM AUX telnet web 任何 Interface AUX 备份接口, 一般用于路由器的管理备份接口 路由器的操作模式 : 配置模式 1. 线路配置模式 Router(config-line)# 配置路由器的线路参数 2. 路由协议配置模式 Router(config-router)#

More information

六域链联盟 SDChain-Matrix 节点搭建指南 2018/07/26 Version : 1.0.0

六域链联盟 SDChain-Matrix 节点搭建指南 2018/07/26 Version : 1.0.0 SDChain-Matrix 节点搭建指南 目录 1 环境要求... 3 2 软件下载... 4 3 安装部署... 4 3.1 部署可执行程序目录... 4 3.2 部署配置文件目录... 4 3.3 部署数据库文件目录... 4 3.4 部署日志文件目录... 4 3.5 部署依赖库文件目录... 4 4 配置参数... 5 5 启动运行... 7 5.1 普通模式启动... 7 5.2 加载启动模式...

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

E170C2.PDF

E170C2.PDF IQ E170C2 2002.3. Rotork Rotork * ( ) * * RotorkIQ - IQ * * PC IQ Insight / Rotork * - Rotork IQ www.rotork.com 5 10 5.1 11 1 2 5.2 11 2 3 5.3 11 3 IQ 3 5.4 11 3.1 3 5.5 IQM12 3.2 3 5.6 IQML12 3.3 4 5.7

More information

Microsoft Word - 4danalysis-pt3-p2-9.doc

Microsoft Word - 4danalysis-pt3-p2-9.doc TECHNIQUES & METHODS OF 4D ANALYSIS (Part III) 4-D Master, Charles In Part II, I presented the performance (hit rates) of the Most Frequent, Top-10 4D numbers over the last 15 years, ie., from 1 Jan 1996

More information

é ê

é ê 廖光洪 朱小华 杨成浩 徐晓华 基于南海 年夏季调查航次诊断计算的流函数场 选取越南以东偶极子发生海域 进行 不同的声层析观测站位设置实验 模拟计算声线传播时间信息 然后应用基函数重建方法进行了 流函数场的模拟反演研究 讨论了不同随机观测误差对反演结果的影响 研究结果表明该方法是 可行的 在所选取的约 海域内 在观测海域外围配置 个声层析观测站位就能够很好地重构原流函数场 空间分辨率约为 可以分辨模拟海域中尺度涡场结构

More information

CAN总线技术白皮书.doc

CAN总线技术白皮书.doc CAN 总线技术白皮书 关键词 : 非破坏性总线,CAN2.0A,CAN2.0B, 总线仲裁, 位流编码, 位填充, 单元状态 摘 要 : 本文 CAN 总线工作原理 协议规范与帧格式等细节 缩略语 : 缩略语 CAN RTR SRR IDE DLC DEL CRC SOF EOF REC TEC IAR IMR ACR AMR SJW MSB LSB 英文全名 Control er Area Network

More information