深圳高通半导体有限公司 GTL-12864O096SH01-F UI 套件用户手册 GTL-12864O096SH01-F UI 套件用户手册 V

Size: px
Start display at page:

Download "深圳高通半导体有限公司 GTL-12864O096SH01-F UI 套件用户手册 GTL-12864O096SH01-F UI 套件用户手册 V"

Transcription

1 深圳高通半导体有限公司 GTL-12864O096SH01-F UI 套件用户手册 GTL-12864O096SH01-F UI 套件用户手册 V

2 深圳高通半导体有限公司 GTL-12864O096SH01-F UI 套件用户手册 版本修订记录 版本号修改内容日期备注 V1.0 GTL-12864O096SH01-F UI 套件用户手册

3 深圳高通半导体有限公司 GTL-12864O096SH01-F UI 套件用户手册 目录 1 概述 UI 套件硬件规格 外形尺寸 UI 套件特性 模块接口 内部电荷泵的 I2C 接口 I2C 接口模式的配置 字库芯片特性 引脚描述与电路连接 引脚配置 引脚描述 SPI 接口与主机接口参考电路示意图 电气特性 绝对最大额定值 DC 特性 AC 特性 封装尺寸 Lib 文件操作 构造通信接口驱动函数 软件工程添加 LIB 文件 将 lib 文件包含进软件工程列表中 调用初始化函数 调用初始化函数 库函数 ui_manager() 的使用 设置界面参数值 触摸或者按键动作界面返回值... 18

4 深圳高通半导体有限公司 GTL-12864O096SH01-F UI 套件用户手册 1 概述 本 UI 套件由三部分组成,1: 高通 UI 字库芯片,2: 高通液晶屏模组,3: 高通 UI 专用调用库, 高通 UI 字库芯片含有高通为客户精心打造的高质量的精致 UI 界面, 该 UI 界面支持动画效果, 显示时在界面切换中支持滑动效果 含有高通标准字库, 字形美观, 无缺字漏字的现象 高通 UI 模组 UI 风格独特, 搭配高通 UI 字库, 体验效果绝佳 UI 专用调用库使用方便, 减少客户软件的编写时间, 缩短产品开发周期 主要界面如下 :

5 深圳高通半导体有限公司 GTL-12864O096SH01-F UI 套件用户手册 2 UI 套件硬件规格 GTL-12864O096SH01-F 是一个 点阵的单色 OLED 显示模块 该显示模块具有亮度高 自发射 对比度高 外形细 / 薄 宽 v 等特点 倾角大, 温度范围宽, 功耗低 2.1 外形尺寸

6 深圳高通半导体有限公司 GTL-12864O096SH01-F UI 套件用户手册 2.2UI 套件特性 显示颜色 : 白色点阵 : 接口 :I2C 工作温度范围宽 : NO. 项目规格单位 1 点阵 128(W) 64(H) - 2 尺寸 0.154(W) (H) mm 2 3 点距 0.17(W) 0.17 (H) mm 2 4 开口率 82 % 5 有效面积 (W) (H) mm 2 6 单元板尺寸 26.7(W) 19.26(H) 1.02 (T) mm 3 7 模块尺寸 26.7(W) 31.26(H) 1.25 (T) mm 3 8 对角线 A/A 尺寸 0.96 inch 9 模块权重 1.27±10% gram

7 深圳高通半导体有限公司 GTL-12864O096SH01-F UI 套件用户手册 2.3 模块接口 PIN PIN 描述 NO. 1 NAME NC 空脚或接地 2 C1N 3 C1P 4 C2P 5 C2N V 充电泵电路电源用电源垫 VDD2 当 vpp 外部提供时, 此引脚可以断开或连接到 vdd 1 7 NC 空脚或接地 8 VSS 接地 9 VDD V 电源电路 10 NC NC 11 IM1 80 I 68 4-wire 12 IM2 I SPI 0 I CSB 时, 芯片选择就会激活, 并启用数据 / 命令这个垫片是芯片选择输入 当 M CS= L 14 RESB 15 A0 I/O 复位信号输入盘 当 RES 设置为 L 时, 设置将被初始化 复位操作由 RES 信号级执行 这是数据 / 命令控制垫, 它决定数据位是数据还是命令 A0="H":Si 的输入被视为显示数据 A0= L :SI 处的输入被传输到命令寄存器 在 i2c 接口中, 这个 pad 充当 SA0 来区分不同的地址 16 WRB 17 RDB 18~25 D0~D7 26 IREF 27 VCOMH 28 VPP MPU 接口输入盘当连接到 8080 MPU 时, 这是活动低的 这个垫连接到 8080 MPU WR 信号 数据总线上的信号被锁在 WR 信号的上升边缘 当连接到 6800 系列 MPU 时 : 这是读写控制信号输入终端 当 R/W= H : 读 当 R/W= L 时 : 写 MPU 接口输入盘当连接到 8080 系列 MPU 时, 它是低活动的 该垫连接到 8080 系列 MPU 的 RD 信号, 当该信号为 L 时, 数据总线处于输出状态 当连接到 6800 系列 MPU 时, 这是活动高的 这被用作 6800 系列 MPU 的启用时钟输入 当 RD= H :Enable 时,RD= L : 禁用 8 位双向数据总线. 连接到 8 位或 16 位标准 MPU 数据总线 当选择串行接口时,D0 充当串行时钟输入垫 (SCL),D1 充当串行数据输入垫 (SI) 此时,D2 到 D7 被设置为高阻抗 当选择 I2C 接口时,D0 充当串行时钟输入垫 (SCL),D1 充当串行数据输入垫 (SDAI) 此时,D2 到 D7 被设置为高阻抗 段电流参考垫 在这个垫和 VSS 之间应该连接一个电阻器 将电流设置为 12.5 μa 用于普通信号的电压输出高电平的焊盘 电容器应该连接在这个焊盘和 VSS 之间 OLED 面板电源 由内部电荷泵产生 连接到电容器 它可以从外部供应

8 深圳高通半导体有限公司 GTL-12864O096SH01-F UI 套件用户手册 2.4 内部电荷泵的 I2C 接口 (VDD1 = 1.65V to 3.5V, TA = 25 C) Symbo Parameter Min. Typ. Max. Unit Condition l fscl SCL 时钟频率 DC khz TLOW SCL 时钟低脉宽 us THigh SCL 时钟 H 脉宽 us TSU:dat THD:dat a a Tr Tf Cb TSu:sT Ar THD:sta t rt Tsu:sto p 资料设置时间 ns 数据保持时间 us SCL,SDA 上升时间 C b ns SCL,SDA 下降时间 C b ns 每条公交线路的容量负荷 pf 重新开始设置时间 us 启动保持时间 us 停止设置时间 us 串行接口特性 SDA tbu tlo t SCL thd:star t thd:data thig tsu:dat SDA tsu:star tsu:sto

9 深圳高通半导体有限公司 GTL-12864O096SH01-F UI 套件用户手册 2.5 I2C 接口模式的配置 与单片机接口相连的引脚 :SCL,SI,RESB, 在外部 VPP 模式下, 电荷泵的设置必须设置如下 : ADH: 电荷泵设置 8AH: 禁用充电泵 SA0 Slave 0 address 0X78 1 0X7A 推荐组件 C1: 0.1uF-0603-X7R±10%.RoHS C2, C3 : 4.7μF/16V.ROHS (Tantalum Capacitors) R1: /10W +/-5% 390Kohm.RoHS R2,R3: /10W +/-5% 10Kohm.RoHS

10 2.6 字库芯片特性 该套件内含 13X14 点阵的汉字库芯片, 支持 GBK 国标简体汉字 ( 含有国家信标委合法授权 ) ASCII 字符及 UNICODE 与 GB18030 编码互转表, 该字库支持多达 173 国文字 排列格式为竖置横排 用户通过字符内码, 利用我司所提供库文件内的函数接口可直接读取该内码的点阵信息 引脚描述与电路连接 引脚配置 DFN8 2X 引脚描述 DFN8 2X3 NO. 名称 I/O 描述 1 GND 地 (Ground) 2 NC 悬空 3 SI I 串行数据输入 (Serial data input) 4 SCLK I 串行时钟输入 (Serial clock input) 5 HOLD# I 总线挂起 (Hold, to pause the device without) 6 VDD 电源 (+ 3.3V Power Supply) 7 CS# I 片选输入 (Chip enable input) 8 SO O 串行数据输出 (Serial data output) 串行数据输出 (SO): 该信号用来把数据从芯片串行输出, 数据在时钟的下降沿移出 串行数据输入 (SI): 该信号用来把数据从串行输入芯片, 数据在时钟的上升沿移入 串行时钟输入 (SCLK): 数据在时钟上升沿移入, 在下降沿移出 片选输入 (CS#): 所有串行数据传输开始于 CS# 下降沿,CS# 在传输期间必须保持为低电平, 在两条指令之间保持为高电平 10

11 总线挂起输入 (HOLD#): 该信号用于片选信号有效期间暂停数据传输, 在总线挂起期间, 串行数据输出信号处于高阻态, 芯片不对串行数据输入信号和串行时钟信号进行响应 当 HOLD# 信号变为低并且串行时钟信号 (SCLK) 处于低电平时, 进入总线挂起状态 当 HOLD# 信号变为高并时串行时钟信号 (SCLK) 处于低电平时, 结束总线挂起状态 SPI 接口与主机接口参考电路示意图 SPI 与主机接口电路连接可以参考下图 (#HOLD 管脚建议接 2K 电阻 3.3V 拉高 ) GT2X SPI 接口与主机接口参考电路示意图 11

12 2.6.3 电气特性 绝对最大额定值 Symbol Parameter Min. Max. Unit Condition TOP Operating Temperature TSTG Storage Temperature VDD Supply Voltage V VIN Input Voltage -0.3 VDD+0.3 V GND Power Ground V DC 特性 Condition:TOP =-40 to 85,GND=0V Symbol Parameter Min. Max. Unit Condition IDD VDD Supply Current(active) 5 15 ma ISB VDD Standby Current 5 15 ua /CS=VDD,VIN= VDD or VSS Icc2 Deep Power-Down /CS=VDD,VIN= 1 5 ua Current VDD or VSS VIL Input LOW Voltage VDD V VIH Input HIGH Voltage 0.7VDD VDD+0.4 V VOL VOH 0.4 Output LOW Voltage V (IOL=1.6mA) VDD=2.7~3.6V VDD-0.2 Output HIGH Voltage V (IOH=-100uA) ILI Input Leakage Current 0 ±2 ua ILO Output Leakage Current 0 ±2 ua Note:IIL:Input LOW Current,IIH:Input HIGH Current, IOL:Output LOW Current,IOH:Output HIGH Current, 12

13 AC 特性 Symbol Alt. Parameter Min. Max. Unit Fc Fc Clock Frequency D.C. 50 MHz tch tclh Clock High Time 4 ns tcl tcll Clock Low Time 4 ns tclch Clock Rise Time(peak to peak) 0.2 V/ns tchcl Clock Fall Time (peak to peak) 0.2 V/ns tslch tcss CS# Active Setup Time (relative to SCLK) 5 ns tchsl CS# Not Active Hold Time (relative to SCLK) 5 ns tdvch tdsu Data In Setup Time 2 ns tchdx tdh Data In Hold Time 5 ns t CHSH CS# Active Hold Time (relative to SCLK) 5 ns t SHCH CS# Not Active Setup Time (relative to SCLK) 5 ns t SHSL tcsh CS# Deselect Time ns t SHQZ tdis Output Disable Time 7 ns t CLQV tv Clock Low to Output Valid 6 ns t CLQX tho Output Hold Time 1 5 ns t HLCH HOLD# Setup Time (relative to SCLK) 5 ns t CHHH HOLD# Hold Time (relative to SCLK) 5 ns t HHCH HOLD Setup Time (relative to SCLK) 5 ns t CHHL HOLD Hold Time (relative to SCLK) 5 ns t HHQX tlz HOLD to Output Low-Z 6 ns t HLQZ thz HOLD# to Output High-Z 6 ns 13

14 2.6.4 封装尺寸 Package 封装类型 封装尺寸 DFN8 2X3 2.0mmx 3.0mm (79milX118mil ) DNF8 2X3 Unit :mm 14

15 3 Lib 文件操作 步骤 1 构造驱动函数 2 软件工程添加 lib 文件 3 调用初始化函数 4 库函数 ui_manager() 的使用 5 设置界面参数值 3.1 构造通信接口驱动函数 字库芯片的 spi 驱动函数 OLED 显示屏的引脚配置和触摸按键引脚配置 } void SPISendByte(unsigned char cmd) { nrf_gpio_pin_clear(spi_cs_pin); spi_sendbyte(cmd); nrf_gpio_pin_set(spi_cs_pin); } unsigned char r_dat_bat(unsigned long address,unsigned long byte_long,unsigned char *p_arr) { unsigned long i=0; nrf_gpio_pin_clear(spi_cs_pin); spi_sendbyte(0x03); spi_sendbyte((unsigned char)(address>>16)); spi_sendbyte((unsigned char)(address>>8)); spi_sendbyte((unsigned char)(address>>0)); for(i=0;i<byte_long;i++){ p_arr[i]=spi_readbyte(); } nrf_gpio_pin_set(spi_cs_pin); return 1; 3.2 软件工程添加 LIB 文件 将 lib 文件包含进软件工程列表中 15

16 3.3 调用初始化函数 调用初始化函数 ui_manager_init(), 在主函数运行前配置初始化函数对显示屏以及 mcu 外设进行配置 函数原型 :void ui_manager_init(void) 使用方法 : 3.4 库函数 ui_manager() 的使用 函数原型 :unsigned long ui_manager(unsigned char touch_state) 参数说明 :unsigned char touch_state 变量 touch_state 赋值 0x00 表示没有按键按下变量 touch_state 赋值 0x01 表示长按动作变量 touch_state 赋值 0x00 表示短按动作阐述 : 有按键动作产生则有对应的界面切换动作 使用方法 : 3.5 设置界面参数值 参数设置函数 unsigned char parameter_setting(unsigned char parameter_term,unsigned char length,unsigned char *Data); 参数说明 : unsigned char parameter_term 该定义代表参数符, 如下表中的 P1 unsigned char length 该定义代表参数内容的长度 unsigned char *Data 该定义代表参数内容, 即下表中的参数使用方法 : parameter_setting(p1,5, 24:00 ); 16

17 参数符及参数内容对应的列表请查询下表 : 参数符及参数内容对应关系表 主页的时间 日期 电池电量和蓝牙状态 健走 跑步 骑行 游泳 名称参数符参数内容示例 时间设置 P1 24:00 年月日周 P2 2018/06/07 周四 电量 P3 0-4 蓝牙状态 P4 0/1 卡路里 P 步数 P 心率 P 步频 P 运动时间 P9 12:00 距离 P 卡路里 P 步数 P 心率 P 步频 P 运动时间 P15 12:00 距离 P 卡路里 P 心率 P 运动时间 P19 12:00 距离 P 卡路里 P 心率 P 运动时间 P23 12:00 距离 P 心率检测心率值 P / 失败 固件版本版本号 P26 text 蓝牙名称名称 P27 text 秒表 分 秒 P28 P29 短信短信内容 P30 text 来电电话号码 P31 text 微信消息消息内容 P32 text 蓝牙连接型号连接 / 断开 P33 连接 / 断开 手机查找成功 / 失败 P34 成功 / 失败 数据刷新成功 / 失败 P35 成功 / 失败 17

18 3.6 触摸或者按键动作界面返回值 触摸或者按键动作会导致按键状态的改变, 该状态值传入库函数 unsigned long ui_manager(unsigned char touch_state) 中返回当前界面的 ID 值 用户可根据该 ID 值判断当前界面处于某一个具体的页面, 再根据该页面的属性传入相应的参数 页面返回值列表如下 返回值列表 名称 标识符 返回值 主页 HOME_PAGE 01 蓝牙连接 BLUETOOTH 02 健走 WALKING 03 跑步 RUNNING 04 骑行 CYCLING 05 游泳 SWIMMING 06 心率 HEART_RATE 07 更多功能 MORE_FEATURES 08 参数表 1 WALKING_PARAMETER1 09 参数表 2 WALKING_PARAMETER2 10 暂停 WALKING_PARAMETER3_STOP 11 健走子菜单 开始 WALKING_PARAMETER3_START 12 结束 WALKING_PARAMETER3_END 13 健走完成 WALKING_END1 14 长按退出 WALKING_END2 15 参数表 1 RUNNING_PARAMETER1 16 参数表 2 RUNNING_PARAMETER2 17 暂停 RUNNING_PARAMETER3_STOP 18 跑步子菜单 开始 RUNNING_PARAMETER3_START 19 结束 RUNNING_PARAMETER3_END 20 跑步完成 RUNNING_END1 21 长按退出 RUNNING_END2 22 参数表 1 CYCLING_PARAMETER1 23 骑行子菜单 暂停 CYCLING_PARAMETER2_STOP 24 开始 CYCLING_PARAMETER2_START 25 结束 CYCLING_PARAMETER2_END 26 18

19 骑行完成 CYCLING_END1 27 长按退出 CYCLING_END2 28 参数表 1 SWIMMING_PARAMETER1 29 暂停 SWIMMING_PARAMETER2_STOP 30 游泳子菜单 开始 SWIMMING_PARAMETER2_START 31 结束 SWIMMING_PARAMETER2_END 32 游泳完成 SWIMMING_END1 33 长按退出 SWIMMING_END2 34 心率检测中 HEART_RATE_DETECTING 35 心率子菜单 心率值 HEART_RATE_PARAMETER1 36 查找 MF_PHONE_SEARCH 更多功能子菜单 设置 MF_SETTING 39 秒表 MF_CHRONOGRAPH 40 查找中 MF_PHONE_SEARCH_ING 41 更多功能 -> 手机查找 查找失败 MF_PHONE_SEARCH_FAIL 42 声音定位 MF_PHONE_SEARCH_SFAR 43 更多功能 -> 设置 关于 MF_SETTING_ABOUT 44 刷新 MF_SETTING_UPDATA 45 暂停 MF_CHRONOGRAPH_NUBSTOP 46 更多功能 -> 秒表 开始 MF_CHRONOGRAPH_NUBSTART 47 结束 MF_CHRONOGRAPH_NUBEND 48 结束退出 MF_CHRONOGRAPH_END 49 更多功能 -> 设置 -> 关于版本信息 MF_SETTING_ABOUT_VER 50 是否更新界面 MF_SETTING_UPDATA_YON 51 更多功能 -> 设置 -> 刷新 刷新 MF_SETTING_UPDATA_ING 52 刷新成功 MF_SETTING_UPDATA_COMPLETE 53 短信界面 SHORTMESSAGE 54 来电界面 CALLIN 55 微信消息通知 WECHATTEXT 56 19

20 深圳 OFFICE 地址 : 深圳市福田区车公庙泰然工贸园 210 栋西座 4G03 电话 : 传真 : 上海 OFFICE 地址 : 上海徐汇区宜山路 1388 号民润大厦 2 号楼 2 层电话 : 传真 : Sales@genitop.com 20

深圳高通半导体有限公司 GTL E290BQ01-F UI 套件用户手册 GTL E290BQ01-F UI 套件用户手册 V

深圳高通半导体有限公司 GTL E290BQ01-F UI 套件用户手册 GTL E290BQ01-F UI 套件用户手册 V 深圳高通半导体有限公司 GTL-296128E290BQ01-F UI 套件用户手册 V 1.0 2018-7 深圳高通半导体有限公司 版本修订记录 版本号修改内容日期备注 V1.0 2018-7 深圳高通半导体有限公司 目录 1 概述... 4 2 UI 套件硬件规格...5 2.1 外形尺寸...5 2.2 UI 套件特性...6 2.3 模块接口...7 2.4 参考电路...8 2.5 字库芯片特性...9

More information

上海高通半导体有限公司 GT21L24S1W 标准点阵汉字库芯片 GT21L24S1W 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT21L24S1W 标准点阵汉字库芯片 GT21L24S1W 标准点阵汉字库芯片 V GT21L24S1W 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V35 12X24 点国际扩展字符 2010-07 12x24 点国标扩展字符起始地址 2010-07 V36 内容没有调整 2010-08 V37 修改字型样张 2012-01 修改内容表 2012-01 V3.7I_A DATASHEET 格式修改 2012-07 V3.7I_B DATASHEET

More information

上海高通半导体有限公司 GT30L32S4W 标准点阵汉字库芯片 GT30L32S4W 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT30L32S4W 标准点阵汉字库芯片 GT30L32S4W 标准点阵汉字库芯片 V GT30L32S4W 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V 1.0I_A 规格书制定 2012-04 V 1.0I_B 规格书格式修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 1.3 芯片特点... 4 芯片内容... 5 字型样张... 6 1.3.1 汉字字符... 6 1.3.2 其它点阵字符... 7 2 操作指令...

More information

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V GT20L16J1Y 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V2.0I_A Datasheet 格式的修改 2010-07 V2.0I_B Datasheet 格式的修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 1.3 芯片特点... 4 芯片内容... 5 字型样张... 6 2 操作指令... 8 2.1 Instruction

More information

Microsoft Word - GT21L16S2Y简要说明V37.doc

Microsoft Word - GT21L16S2Y简要说明V37.doc GT21L16S2Y 标准汉字字库芯片 简要说明 BRIEF 字型 :11X12 点阵 15X16 点阵 字符集 :G312 兼容 Unicode 内码 排置方式 : 竖置横排 总线接口 :SPI 串行总线 芯片形式 :SO8 封装 VER 3.7 2012-2 集通数码科技 - 1 - 版本修订记录 GT21L16S2Y 标准点阵汉字字库芯片简要说明 版本号 修改内容 日期 备注 V35 1. 15*16

More information

GT21L16S1W-S datasheetV25.doc

GT21L16S1W-S datasheetV25.doc GT21L16S1W-S 15X16 点 阵 标 准 汉 字 字 库 芯 片 (SPI 接 口 ) 用 户 手 册 VER 2.51 2006-Q2 集 通 数 码 科 技 - 1 - www.genitop.com 版 本 修 订 记 录 版 本 号 修 改 内 容 日 期 备 注 V2.5 生 成 数 据 手 册 2006-6 V2.51 修 正 了 扩 展 字 符 区 的 8X16ASCII

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

Microsoft Word - GT21L16S2W简要说明V3.7.doc

Microsoft Word - GT21L16S2W简要说明V3.7.doc GT21L16S2W 标 准 汉 字 字 库 芯 片 简 要 说 明 BRIEF 字 型 :11X12 点 阵 15X16 点 阵 字 符 集 :GB2312 兼 容 Unicode 内 码 排 置 方 式 : 横 置 横 排 总 线 接 口 :SPI 串 行 总 线 芯 片 形 式 :SO8 封 装 VER 3.7 2010-Q3 集 通 数 码 科 技 - 1 - 版 本 修 订 记 录 GT21L16S2W

More information

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189

!#!$ %!$ %%$&&''!(!)!*+,,!%*& -./ *##)' * %$ ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189 !"#!$ %!$""%%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2#$*#2'' *($#3*($#3'' -. 45,67!&$#6)*$+689. 0, ' 189 (# 9(##(# '!# ( $,F, A(#./F/ #-'-* 2>F> *'-* $3F>>/ "96((* ( (" 9 96 4>/ E> #-'-*

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库芯片规格书制定

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库芯片规格书制定 GT24L24A2W16 标准点阵汉字库芯片 V 1.0I_A 2015-8 1 版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库芯片规格书制定 2015-08 2 目 录 1 概述... 4 1.1 1.2 1.3 芯片特点... 4 芯片内容... 5 字型样张... 8 2 操作指令... 12 2.1 Instruction Parameter( 指令参数 )... 12 2.2

More information

版本修订记录 版本号修改内容日期备注 V12 Update 地址表 增加日文 JIS0208 调用程序 韩文符号调用程序 V18 增加中日韩 Unicode 调用程序 V19 增加 BIG5 字符集 5401 程序调用 V19II _A

版本修订记录 版本号修改内容日期备注 V12 Update 地址表 增加日文 JIS0208 调用程序 韩文符号调用程序 V18 增加中日韩 Unicode 调用程序 V19 增加 BIG5 字符集 5401 程序调用 V19II _A GT22L16A1Y 标准点阵中外文字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V12 Update 地址表 2011-08 增加日文 JIS0208 调用程序 韩文符号调用程序 2011-09 V18 增加中日韩 Unicode 调用程序 2011-09 V19 增加 BIG5 字符集 5401 程序调用 2011-09 V19II _A 变更韩文 Unicode

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

SO E (COG) VERSION1.0 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN ~+70 FPC STN ~+70 FPC STN ~+70 FP

SO E (COG) VERSION1.0 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN ~+70 FPC STN ~+70 FPC STN ~+70 FP SO12864-14E (COG) VERSION10 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN 6 00-20~+70 FPC STN 6 00-20~+70 FPC STN 6 00-20~+70 FPC COG LED SO12864-14ESW 2S 30V 30~36mA SO12864-14ESB

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

DATASHEET SEARCH SITE |

DATASHEET SEARCH SITE | 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 1. 概 述 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU 实 时 通 信, 主 要 用 于 一 切 需 要 提 供 时 基 的 系 统 中 该 芯 片 能 够 产 生 多 种 周 期 性 中 断 脉 冲 ( 最 长 周 期 可 长 达 1 个 月 ), 还

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

ER3303-1_Datasheet

ER3303-1_Datasheet EastRising Font Chip Datasheet ER3300-3 buydisplay.com ER3303-1 DATASHEET Font size: 11X12dots 15X16dots 24X24dots Chinese character set: G312 G2345 BIG5 Compatible with Unicode Data arrangement: vertical

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W V 1.0I_B 字库说明书格式修改

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W V 1.0I_B 字库说明书格式修改 GT30L24A3W 标准点阵中外文字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 2013-11 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W 2013.12 V 1.0I_B 字库说明书格式修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 芯片特点... 4 芯片内容... 5

More information

Microsoft Word - FM12232C.doc

Microsoft Word - FM12232C.doc SPEC NO YM2232C REV NO. : YM2232C : 22x32 SED52 LED : Dexun Zou HCC : Jingxi Yang : 22.8 目 录 ( 一 ) 基本特性 () ( 二 ) 限定参数 () ( 三 ) 直流特性 (2) ( 四 ) 交流特性 (2) ( 五 ) 机械尺寸图 (3) ( 六 ) 原理图 (3) ( 七 ) DDRAM 地址表 (4)

More information

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W V 1.0I_B 字库说明书格式修改

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W V 1.0I_B 字库说明书格式修改 GT30L24A3W 标准点阵中外文字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 2013-11 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W 2013.12 V 1.0I_B 字库说明书格式修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 芯片特点... 4 芯片内容... 5

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

电源管理类产品

电源管理类产品 CN705/706/707/708/813 CN705/706/707/708/813 CN705/706/707/708/813 5.0 0.1 1.22 5V 52 CN705/706/707/708/813 CN705/706/813 1.6 CN707/708 CN813 8 DIP8 8 CN705/706 CN705/706/707/708/813 8 MR 1 DIP8 8 SOP8

More information

GT20L16P1Y|WWW.RUNDEX.COM

GT20L16P1Y|WWW.RUNDEX.COM GT20L16P1Y 标 准 汉 字 字 库 芯 片 用 户 手 册 DATASHEET 支 持 GB2312 简 体 汉 字 字 符 集 支 持 UNICODE 常 用 简 繁 体 汉 字 字 符 集 支 持 Unicode 175 国 中 外 文 字 符 集 拉 丁 文 希 腊 文 西 里 尔 文 阿 拉 伯 文 希 伯 来 文 泰 文 等 排 置 方 式 : 竖 置 横 排 总 线 接 口 :SPI

More information

Microsoft Word - GTC doc

Microsoft Word - GTC doc Gem-tech Dot matrix type LCM (2015-05-21) Product No. Controler Tech. V.A. A.A Dot size Module Size GTG-98641V3 NT7534 TAB NONE 31.0 22.5 26.739 19.185 0.258 0.285 34.0 64.5 GTG-12232IV2 SBN1661G_M18 COB

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc Wire Wound SMD Power Inductors SWRH-B Series Operating Temperature: -25 ~+105 (Including self-heating) FEATURES Various high power inductors are superior to be high saturation Suitable for surface mounting

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

DL1621-1

DL1621-1 General Description: DL1621-1, 含 LCD 的控制线路, 搭配 MCU 来使用, 将使使用者的成本降低, 以及应用更加. 宽广 Features: 工作电压 2.4V-5.5V 系统频率 : - 内建 RC 振荡器 (256Khz) - 外挂 32768Hz 晶振 - 外灌 256Khz 输入 ( 由 OSCI 脚 ) 提供简单 3 pins 串接接口 (CKRB/ CKWB/

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC General Description: IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC 15K 300pF 256KHZ default on 32 function key Built-in TT6221/TT6222 decoder Provide

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

/ / / , DOCNO: SG J REV11-2 -

/ / / , DOCNO: SG J REV11-2 - SG240128-01J LCD Version11 LED VDD SG240128SYD-01JSYE STN 80 50V 50V SG240128FPD-01JSWE FSTN 80 50V 50V SG240128SBD-01JSWE STN 80 50V 50V SG240128-01J 1 33V 2 LCM LCD 3 LED 4 1 LCD 2 3 : 010-80750102 /03

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

Microsoft Word - FG12232A.doc

Microsoft Word - FG12232A.doc 图形点阵液晶显示模块使用手册 FG2232A 广州市方舟电子有限公司 公司网站 :www.arkteck.com 地址 : 广州市天河区东圃桃园路 33 号 5 楼电话 :2-82574878 82574677 8854485 传真 :82574878 82574677 分机 88 目 录 ( 一 ) 基本特性 () ( 二 ) 原理图 (2) ( 三 ) 限定参数 (2) ( 四 ) 直流特性 (2)

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

版本修订记录 版本号修改内容日期备注 V 35 将 ASCII 部分统一改为 96 个 将调用程序 ASCII 调用的内码范围改为 0x20-0x7F V4.0IIB 字型样张修改 V4.0IIC ASCII 部分调用程序修改 V4.0

版本修订记录 版本号修改内容日期备注 V 35 将 ASCII 部分统一改为 96 个 将调用程序 ASCII 调用的内码范围改为 0x20-0x7F V4.0IIB 字型样张修改 V4.0IIC ASCII 部分调用程序修改 V4.0 GT20L24F6Y 标准点阵多国语言字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V 35 将 ASCII 部分统一改为 96 个 2011-09 将调用程序 ASCII 调用的内码范围改为 0x20-0x7F 2011-09 V4.0IIB 字型样张修改 2012-01 V4.0IIC ASCII 部分调用程序修改 2012-03 V4.0IIID 部分地址表, 内容表修改,

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M 705/706/707/708/813 HM705/706/707/708/813 1.15 HM705/706/707/708/813 2.6 5.0 0.1 1.22 5V 52 200 HM705/706/707/708/813 1.6 HM705/706/813 1.6 705/706/707/708/813 HM707/708 HM813 8 DIP8 8 SOP8 HM705/706 :

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

Microsoft Word - SP-DM13A-A.003.doc

Microsoft Word - SP-DM13A-A.003.doc Version : A.003 Issue Date : 2007/12/12 File Name Total Pages : 20 : SP--A.003.doc 16-bit Constant Current LED Driver 新竹市科學園區展業一路 9 號 7 樓之 1 9-7F-1, Prosperity Road I, Science Based Industrial Park, Hsin-Chu,

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

untitled

untitled 1....... 1 2... 3 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7.5V.. 2-8. 3 4 4 4 5 5 6 6 3... 7 4..... 9 4-1. 4-2. 5.... 15 5-1.... 5-2.(Current Limit).. 5-3./(Constant Voltage/ Constant Current).. 5-4.... 15 16

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

<4D6963726F736F667420576F7264202D20CDA8D3C3B9E6B7B6BABAD7D6B1ED5FB0B4B1E0C2EBC5C5C1D05F2E646F63>

<4D6963726F736F667420576F7264202D20CDA8D3C3B9E6B7B6BABAD7D6B1ED5FB0B4B1E0C2EBC5C5C1D05F2E646F63> 通用规范汉字表(按编码排列) 表中有三种不同颜色的汉字 含字前的编码与字后的编号 共 8300 个 其中 黑色字为 新华字典 第 10 版 及 GB2312 字符集中已收录的字 绿色字为 GBK 字库中能检到的字 红色字为 GBK 字库中检不到而自造的字 00 000012 000022 000081 000144 000181 00021 00021 00024 000314 000412 000422

More information

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2 1A BL1117 1.8V 2.5V 2.85V 1A 3.3V 5V 1.2V BL1117 1A Vout 1.8V,2.5V,2.85V,3.3V,5V ±1 15V 1.25V~13.8V 0.2 BL1117 0.4 BL1117-50 140 TA -50 ~140 1% BL1117 SOT-223,TO- 252,TO-220 LCD LCD TV DVD ADSL BL1117-XX

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud LED/Smart TV LED/智慧電視 www.passivecomponent.com 1 www.passivecomponent.com LED/Smart TV LED/ Function List 1 2 3 4 5 6 7 8 9 10 11 12 13 14 Products \ Application Tuner block DSP block / I/O Voice/Audio

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

/ / / LED DOCNO: SG D REV11-2 -

/ / / LED DOCNO: SG D REV11-2 - SG24064-02D Version11 SG24064SYD-02DSYE LCD LED VDD STN 80 50V 50V SG24064SBD-02DSWE STN 80 50V 50V SG24064FPD-02DSWE FSTN 80 50V 50V SG24064SYD-02DSYE ND STN 80 50V 50V SG24064SBD-02DSWE ND STN 80 50V

More information

绯荤粺A4鐗堟湰鍗曢〉

绯荤粺A4鐗堟湰鍗曢〉 2011 +86-10-60290088 600 690 470 970 670 1000 194 130 294 65 85 150 82 90 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088

More information

00 sirius 3R SIRIUS 3R 3RV1 0A 1 3RT1 3RH1 3 3RU11/3RB SIRIUS SIRIUS TC= / 3RV1 A 1 IEC6097- IP0 ( IP00) 1/3 IEC6097- (VDE0660) DIN VDE 06 0 AC690V, I cu 00V 1) P A n I n I cu A kw A A ka S00 0.16 0.0

More information

开关电源入门.PPT [兼容模式]

开关电源入门.PPT [兼容模式] 1. 开 关 电 源 概 念 的 引 入 开 关 电 源 入 门 介 绍 1.1 电 源 的 重 要 性 : ( 对 电 源 的 理 解!) 电 源 犹 如 人 体 的 心 脏, 是 所 有 电 器 设 备 的 动 力 一 切 设 备 需 要 电 源 ; 设 备 更 新, 电 源 也 跟 随 更 新 市 电 220Vac/50Hz 通 常 不 能 直 接 给 设 备 供 电, 因 为 不 同 的 设

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information