深圳高通半导体有限公司 GTL E290BQ01-F UI 套件用户手册 GTL E290BQ01-F UI 套件用户手册 V

Size: px
Start display at page:

Download "深圳高通半导体有限公司 GTL E290BQ01-F UI 套件用户手册 GTL E290BQ01-F UI 套件用户手册 V"

Transcription

1 深圳高通半导体有限公司 GTL E290BQ01-F UI 套件用户手册 V

2 深圳高通半导体有限公司 版本修订记录 版本号修改内容日期备注 V

3 深圳高通半导体有限公司 目录 1 概述 UI 套件硬件规格 外形尺寸 UI 套件特性 模块接口 参考电路 字库芯片特性 引脚描述与电路连接 引脚配置 引脚描述 SPI 接口与主机接口参考电路示意图 电气特性 绝对最大额定值 DC 特性 AC 特性 封装尺寸 Lib 文件操作 构造通信接口驱动函数 软件工程添加 LIB 文件 将 lib 文件包含进软件工程列表中 调用初始化函数...15 调用初始化函数 库函数 ui_manager() 的使用 设置界面参数值...15

4 深圳高通半导体有限公司 1 概述 本 UI 套件由三部分组成,1: 高通 UI 字库芯片,2: 高通电子纸模组,3: 高通 UI 专用调用库, 高通 UI 字库芯片含有高通为客户精心打造的高质量的精致 UI 界面, 该 UI 界面含有高通标准字库, 字形美观, 无缺字漏字的现象 高通 UI 模组 UI 风格独特, 搭配高通 UI 字库, 体验效果绝佳 UI 专用调用库使用方便, 减少客户软件的编写时间, 缩短产品开发周期 主要界面如下 :

5 深圳高通半导体有限公司 2 UI 套件硬件规格 GTL E290BQ01-F 是一个分辨率为 296*128 的有源矩阵电子纸显示器 (AMPD), 具有接口和参考系统设计 2.9 英寸有源区包含 128 个或 296 个像素, 并具有 1 位 B/W 全显示功能 集成电路包括门缓冲 源缓冲 接口 时序控制逻辑 振荡器 DC-DC SRAM LUT VCOM 和边界 2.1 外形尺寸

6 深圳高通半导体有限公司 2.2 UI 套件特性 显示颜色 : 黑白红 / 黑白点阵 : 接口 :SPI 工作温度范围宽 :0-50 NO. 项目规格单位 1 点阵 296(V) 128(H) - 2 显示分辨率 [128 ](H)x 296(V) Pixels 3 点距 0.227(V) (H) mm 4 外部尺寸 36.7(H) 79.0 (V) 1.25D) mm 5 有效面积 66.90(V) (H) mm 2 6 像素构成矩形点阵 7 对角线 A/A 尺寸 2.90 Inch

7 深圳高通半导体有限公司 2.3 模块接口 Pin.NO Symbol Function 1 NC NC 空脚或接地 2 GDR N-Channel MOSFET 栅驱动控制 3 RESE 控制回路的电流检测输入 4 NC NC 空脚或接地 5 VSH2 正极驱动电压 6 TSCL 数字温度传感器时钟引脚的 I2C 接口 7 TSDA 数字温度传感器数据引脚的 I2C 接口 8 BS1 母线选择销 9 BUSY 忙态输出引脚 10 RES# Reset 复位 11 D/C# 数据 / 命令控制销 12 CS# 芯片选择输入引脚 13 SCL 串行时钟引脚 14 SDA 串行数据引脚 (SPI) 15 VDDIO 接口逻辑引脚电源 16 VCI 芯片电源销 17 VSS 接地 18 VDD 核心逻辑电源销 19 VPP OTP 编程电源 20 VSH1 正极驱动电压 21 VGH 正极驱动电压 22 VSL 负源驱动电压 23 VGL 负栅驱动电压 24 VCOM VCOM 驱动电压

8 深圳高通半导体有限公司 2.4 参考电路

9 2.5 字库芯片特性 该套件内含点阵字库的字库芯片, 其中点阵字库含有 点阵字库, 同时支持 GBK 国标简体汉字 排列格式为横置横排 用户通过字符内码, 利用我司所提供库文件内的函数接口可直接读取该内码的点阵信息 引脚描述与电路连接 引脚配置 DFN8 2X 引脚描述 DFN8 2X3 NO. 名称 I/O 描述 1 GND 地 (Ground) 2 NC 悬空 3 SI I 串行数据输入 (Serial data input) 4 SCLK I 串行时钟输入 (Serial clock input) 5 HOLD# I 总线挂起 (Hold, to pause the device without) 6 VDD 电源 (+ 3.3V Power Supply) 7 CS# I 片选输入 (Chip enable input) 8 SO O 串行数据输出 (Serial data output) 串行数据输出 (SO): 该信号用来把数据从芯片串行输出, 数据在时钟的下降沿移出 串行数据输入 (SI): 该信号用来把数据从串行输入芯片, 数据在时钟的上升沿移入 串行时钟输入 (SCLK): 数据在时钟上升沿移入, 在下降沿移出 片选输入 (CS#): 所有串行数据传输开始于 CS# 下降沿,CS# 在传输期间必须保持为低电平, 在两条指令之间保持为高电平 9

10 总线挂起输入 (HOLD#): 该信号用于片选信号有效期间暂停数据传输, 在总线挂起期间, 串行数据输出信号处于高阻态, 芯片不对串行数据输入信号和串行时钟信号进行响应 当 HOLD# 信号变为低并且串行时钟信号 (SCLK) 处于低电平时, 进入总线挂起状态 当 HOLD# 信号变为高并时串行时钟信号 (SCLK) 处于低电平时, 结束总线挂起状态 SPI 接口与主机接口参考电路示意图 SPI 与主机接口电路连接可以参考下图 (#HOLD 管脚建议接 2K 电阻 3.3V 拉高 ) GTXX SPI 接口与主机接口参考电路示意图 10

11 2.5.3 电气特性 绝对最大额定值 Symbol Parameter Min. Max. Unit Condition TOP Operating Temperature TSTG Storage Temperature VDD Supply Voltage V VIN Input Voltage -0.3 VDD+0.3 V GND Power Ground V DC 特性 Condition:TOP =-40 to 85,GND=0V Symbol Parameter Min. Max. Unit Condition IDD VDD Supply Current(active) 5 15 ma ISB VDD Standby Current 5 15 ua /CS=VDD,VIN= VDD or VSS Icc2 Deep Power-Down /CS=VDD,VIN= 1 5 ua Current VDD or VSS VIL Input LOW Voltage VDD V VIH Input HIGH Voltage 0.7VDD VDD+0.4 V VOL VOH 0.4 Output LOW Voltage V (IOL=1.6mA) VDD=2.7~3.6V VDD-0.2 Output HIGH Voltage V (IOH=-100uA) ILI Input Leakage Current 0 ±2 ua ILO Output Leakage Current 0 ±2 ua Note:IIL:Input LOW Current,IIH:Input HIGH Current, IOL:Output LOW Current,IOH:Output HIGH Current, 11

12 AC 特性 Symbol Alt. Parameter Min. Max. Unit Fc Fc Clock Frequency D.C. 50 MHz tch tclh Clock High Time 4 ns tcl tcll Clock Low Time 4 ns tclch Clock Rise Time(peak to peak) 0.2 V/ns tchcl Clock Fall Time (peak to peak) 0.2 V/ns tslch tcss CS# Active Setup Time (relative to SCLK) 5 ns tchsl CS# Not Active Hold Time (relative to SCLK) 5 ns tdvch tdsu Data In Setup Time 2 ns tchdx tdh Data In Hold Time 5 ns t CHSH CS# Active Hold Time (relative to SCLK) 5 ns t SHCH CS# Not Active Setup Time (relative to SCLK) 5 ns t SHSL tcsh CS# Deselect Time ns t SHQZ tdis Output Disable Time 7 ns t CLQV tv Clock Low to Output Valid 6 ns t CLQX tho Output Hold Time 1 5 ns t HLCH HOLD# Setup Time (relative to SCLK) 5 ns t CHHH HOLD# Hold Time (relative to SCLK) 5 ns t HHCH HOLD Setup Time (relative to SCLK) 5 ns t CHHL HOLD Hold Time (relative to SCLK) 5 ns t HHQX tlz HOLD to Output Low-Z 6 ns t HLQZ thz HOLD# to Output High-Z 6 ns 12

13 2.5.4 封装尺寸 Package 封装类型 封装尺寸 DFN8 2X3 2.0mmx 3.0mm (79milX118mil ) DNF8 2X3 Unit :mm 13

14 3 Lib 文件操作 步骤 1 构造驱动函数 2 软件工程添加 lib 文件 3 调用初始化函数 4 库函数 ui_manager() 的使用 5 设置界面参数值 3.1 构造通信接口驱动函数 字库芯片的 spi 驱动函数 电子纸显示屏的引脚配置和触摸按键引脚配置 } void SPISendByte(unsigned char cmd) { nrf_gpio_pin_clear(spi_cs_pin); spi_sendbyte(cmd); nrf_gpio_pin_set(spi_cs_pin); } unsigned char r_dat_bat(unsigned long address,unsigned long byte_long,unsigned char *p_arr) { unsigned long i=0; nrf_gpio_pin_clear(spi_cs_pin); spi_sendbyte(0x03); spi_sendbyte((unsigned char)(address>>16)); spi_sendbyte((unsigned char)(address>>8)); spi_sendbyte((unsigned char)(address>>0)); for(i=0;i<byte_long;i++){ p_arr[i]=spi_readbyte(); } nrf_gpio_pin_set(spi_cs_pin); return 1; 3.2 软件工程添加 LIB 文件 将 lib 文件包含进软件工程列表中 14

15 3.3 调用初始化函数 调用初始化函数 ui_manager_init(), 在主函数运行前配置初始化函数对显示屏以及 mcu 外设进行配置 函数原型 :void ui_manager_init(void) 使用方法 : 3.4 库函数 ui_manager() 的使用 函数原型 :unsigned long ui_manager(unsigned char touch_state) 参数说明 :unsigned char touch_state 变量 touch_state 赋值 0x00 表示没有按键按下变量 touch_state 赋值 0x01 表示长按动作变量 touch_state 赋值 0x00 表示短按动作阐述 : 有按键动作产生则有对应的界面切换动作 使用方法 : 3.5 设置界面参数值 参数设置函数 unsigned char parameter_setting(unsigned char parameter_term,unsigned char length,unsigned char *Data); 参数说明 : unsigned char parameter_term 该定义代表参数符, 如下表中的 P1 unsigned char length 该定义代表参数内容的长度 unsigned char *Data 该定义代表参数内容, 即下表中的参数使用方法 : parameter_setting(p1,5, 24:00 ); 15

16 参数符及参数内容对应的列表请查询下表 : 参数符及参数内容对应关系表 名称 参数符 测试数据 备注 品名 P40 品名 :Kiehl's 面霜 ASCII + 汉字 价格 P 数字和小数点 功效 P42 保湿 汉字 面霜模板 (296*128) 规格 P43 150ml ASCII + 汉字 适用 P44 任何肤质 ASCII + 汉字 保质期 P45 12 个月 ASCII + 汉字 条形码 P 个数字 品名 P50 圆形巧克力礼盒 ASCII + 汉字 价格 P 数字和小数点 圆形巧克力模板 (296*128) 产地 P52 比利时 ( 国内包装 ) 汉字 规格 P53 150g ASCII + 汉字 保质期 P 天 ASCII + 汉字 品名 P60 雀巢咖啡 3+1 ASCII + 汉字 价格 P 数字和小数点 雀巢咖啡模板 (296*128) 规格 P62 150g ASCII + 汉字 单位 P63 盒 ASCII + 汉字 产地 P64 上海 汉字 条形码 P 个数字 品名 P70 森味开心果 ASCII + 汉字 价格 P 数字 ( 时钟体 ) 规格 P72 157g ASCII + 汉字 开心果模板 (296*128) 产地 P73 比利时汉字 保质期 P 天 ASCII + 汉字 监督电话 P ASCII 二维码 P76 高通科技半导体汉字 ( 输入 4 个汉字 ) 16

17 深圳 OFFICE 地址 : 深圳市福田区车公庙泰然工贸园 210 栋西座 4G03 电话 : 传真 : 上海 OFFICE 地址 : 上海徐汇区宜山路 1388 号民润大厦 2 号楼 2 层电话 : 传真 : Sales@genitop.com 17

深圳高通半导体有限公司 GTL-12864O096SH01-F UI 套件用户手册 GTL-12864O096SH01-F UI 套件用户手册 V

深圳高通半导体有限公司 GTL-12864O096SH01-F UI 套件用户手册 GTL-12864O096SH01-F UI 套件用户手册 V 深圳高通半导体有限公司 GTL-12864O096SH01-F UI 套件用户手册 GTL-12864O096SH01-F UI 套件用户手册 V 1.0 2018-7 深圳高通半导体有限公司 GTL-12864O096SH01-F UI 套件用户手册 版本修订记录 版本号修改内容日期备注 V1.0 GTL-12864O096SH01-F UI 套件用户手册 2018-07 深圳高通半导体有限公司

More information

上海高通半导体有限公司 GT21L24S1W 标准点阵汉字库芯片 GT21L24S1W 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT21L24S1W 标准点阵汉字库芯片 GT21L24S1W 标准点阵汉字库芯片 V GT21L24S1W 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V35 12X24 点国际扩展字符 2010-07 12x24 点国标扩展字符起始地址 2010-07 V36 内容没有调整 2010-08 V37 修改字型样张 2012-01 修改内容表 2012-01 V3.7I_A DATASHEET 格式修改 2012-07 V3.7I_B DATASHEET

More information

上海高通半导体有限公司 GT30L32S4W 标准点阵汉字库芯片 GT30L32S4W 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT30L32S4W 标准点阵汉字库芯片 GT30L32S4W 标准点阵汉字库芯片 V GT30L32S4W 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V 1.0I_A 规格书制定 2012-04 V 1.0I_B 规格书格式修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 1.3 芯片特点... 4 芯片内容... 5 字型样张... 6 1.3.1 汉字字符... 6 1.3.2 其它点阵字符... 7 2 操作指令...

More information

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V GT20L16J1Y 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V2.0I_A Datasheet 格式的修改 2010-07 V2.0I_B Datasheet 格式的修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 1.3 芯片特点... 4 芯片内容... 5 字型样张... 6 2 操作指令... 8 2.1 Instruction

More information

Microsoft Word - GT21L16S2Y简要说明V37.doc

Microsoft Word - GT21L16S2Y简要说明V37.doc GT21L16S2Y 标准汉字字库芯片 简要说明 BRIEF 字型 :11X12 点阵 15X16 点阵 字符集 :G312 兼容 Unicode 内码 排置方式 : 竖置横排 总线接口 :SPI 串行总线 芯片形式 :SO8 封装 VER 3.7 2012-2 集通数码科技 - 1 - 版本修订记录 GT21L16S2Y 标准点阵汉字字库芯片简要说明 版本号 修改内容 日期 备注 V35 1. 15*16

More information

GT21L16S1W-S datasheetV25.doc

GT21L16S1W-S datasheetV25.doc GT21L16S1W-S 15X16 点 阵 标 准 汉 字 字 库 芯 片 (SPI 接 口 ) 用 户 手 册 VER 2.51 2006-Q2 集 通 数 码 科 技 - 1 - www.genitop.com 版 本 修 订 记 录 版 本 号 修 改 内 容 日 期 备 注 V2.5 生 成 数 据 手 册 2006-6 V2.51 修 正 了 扩 展 字 符 区 的 8X16ASCII

More information

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库芯片规格书制定

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库芯片规格书制定 GT24L24A2W16 标准点阵汉字库芯片 V 1.0I_A 2015-8 1 版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库芯片规格书制定 2015-08 2 目 录 1 概述... 4 1.1 1.2 1.3 芯片特点... 4 芯片内容... 5 字型样张... 8 2 操作指令... 12 2.1 Instruction Parameter( 指令参数 )... 12 2.2

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

版本修订记录 版本号修改内容日期备注 V12 Update 地址表 增加日文 JIS0208 调用程序 韩文符号调用程序 V18 增加中日韩 Unicode 调用程序 V19 增加 BIG5 字符集 5401 程序调用 V19II _A

版本修订记录 版本号修改内容日期备注 V12 Update 地址表 增加日文 JIS0208 调用程序 韩文符号调用程序 V18 增加中日韩 Unicode 调用程序 V19 增加 BIG5 字符集 5401 程序调用 V19II _A GT22L16A1Y 标准点阵中外文字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V12 Update 地址表 2011-08 增加日文 JIS0208 调用程序 韩文符号调用程序 2011-09 V18 增加中日韩 Unicode 调用程序 2011-09 V19 增加 BIG5 字符集 5401 程序调用 2011-09 V19II _A 变更韩文 Unicode

More information

Microsoft Word - GT21L16S2W简要说明V3.7.doc

Microsoft Word - GT21L16S2W简要说明V3.7.doc GT21L16S2W 标 准 汉 字 字 库 芯 片 简 要 说 明 BRIEF 字 型 :11X12 点 阵 15X16 点 阵 字 符 集 :GB2312 兼 容 Unicode 内 码 排 置 方 式 : 横 置 横 排 总 线 接 口 :SPI 串 行 总 线 芯 片 形 式 :SO8 封 装 VER 3.7 2010-Q3 集 通 数 码 科 技 - 1 - 版 本 修 订 记 录 GT21L16S2W

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

ER3303-1_Datasheet

ER3303-1_Datasheet EastRising Font Chip Datasheet ER3300-3 buydisplay.com ER3303-1 DATASHEET Font size: 11X12dots 15X16dots 24X24dots Chinese character set: G312 G2345 BIG5 Compatible with Unicode Data arrangement: vertical

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189

!#!$ %!$ %%$&&''!(!)!*+,,!%*& -./ *##)' * %$ ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189 !"#!$ %!$""%%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2#$*#2'' *($#3*($#3'' -. 45,67!&$#6)*$+689. 0, ' 189 (# 9(##(# '!# ( $,F, A(#./F/ #-'-* 2>F> *'-* $3F>>/ "96((* ( (" 9 96 4>/ E> #-'-*

More information

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W V 1.0I_B 字库说明书格式修改

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W V 1.0I_B 字库说明书格式修改 GT30L24A3W 标准点阵中外文字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 2013-11 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W 2013.12 V 1.0I_B 字库说明书格式修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 芯片特点... 4 芯片内容... 5

More information

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W V 1.0I_B 字库说明书格式修改

版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W V 1.0I_B 字库说明书格式修改 GT30L24A3W 标准点阵中外文字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V 1.0I_A 字库说明书的制定 2013-11 V1.1 I A 字库型号由 GT24L24A3W 更改为 GT30L24A3W 2013.12 V 1.0I_B 字库说明书格式修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 芯片特点... 4 芯片内容... 5

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

SO E (COG) VERSION1.0 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN ~+70 FPC STN ~+70 FPC STN ~+70 FP

SO E (COG) VERSION1.0 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN ~+70 FPC STN ~+70 FPC STN ~+70 FP SO12864-14E (COG) VERSION10 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN 6 00-20~+70 FPC STN 6 00-20~+70 FPC STN 6 00-20~+70 FPC COG LED SO12864-14ESW 2S 30V 30~36mA SO12864-14ESB

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

GT20L16P1Y|WWW.RUNDEX.COM

GT20L16P1Y|WWW.RUNDEX.COM GT20L16P1Y 标 准 汉 字 字 库 芯 片 用 户 手 册 DATASHEET 支 持 GB2312 简 体 汉 字 字 符 集 支 持 UNICODE 常 用 简 繁 体 汉 字 字 符 集 支 持 Unicode 175 国 中 外 文 字 符 集 拉 丁 文 希 腊 文 西 里 尔 文 阿 拉 伯 文 希 伯 来 文 泰 文 等 排 置 方 式 : 竖 置 横 排 总 线 接 口 :SPI

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

版本修订记录 版本号修改内容日期备注 V 35 将 ASCII 部分统一改为 96 个 将调用程序 ASCII 调用的内码范围改为 0x20-0x7F V4.0IIB 字型样张修改 V4.0IIC ASCII 部分调用程序修改 V4.0

版本修订记录 版本号修改内容日期备注 V 35 将 ASCII 部分统一改为 96 个 将调用程序 ASCII 调用的内码范围改为 0x20-0x7F V4.0IIB 字型样张修改 V4.0IIC ASCII 部分调用程序修改 V4.0 GT20L24F6Y 标准点阵多国语言字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V 35 将 ASCII 部分统一改为 96 个 2011-09 将调用程序 ASCII 调用的内码范围改为 0x20-0x7F 2011-09 V4.0IIB 字型样张修改 2012-01 V4.0IIC ASCII 部分调用程序修改 2012-03 V4.0IIID 部分地址表, 内容表修改,

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

Microsoft Word - FM12232C.doc

Microsoft Word - FM12232C.doc SPEC NO YM2232C REV NO. : YM2232C : 22x32 SED52 LED : Dexun Zou HCC : Jingxi Yang : 22.8 目 录 ( 一 ) 基本特性 () ( 二 ) 限定参数 () ( 三 ) 直流特性 (2) ( 四 ) 交流特性 (2) ( 五 ) 机械尺寸图 (3) ( 六 ) 原理图 (3) ( 七 ) DDRAM 地址表 (4)

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

Microsoft Word - GTC doc

Microsoft Word - GTC doc Gem-tech Dot matrix type LCM (2015-05-21) Product No. Controler Tech. V.A. A.A Dot size Module Size GTG-98641V3 NT7534 TAB NONE 31.0 22.5 26.739 19.185 0.258 0.285 34.0 64.5 GTG-12232IV2 SBN1661G_M18 COB

More information

版本修订记录 版本号修改内容日期备注 VER1.0I_A 字库芯片说明书的制定 字库定制 V1.1I_A 增加 CP437 特殊字符算法及样张 V1.1I_B 增加状态寄存器说明 V1.1I_C 增加自由读写空间对应烧录器中的型号 V1

版本修订记录 版本号修改内容日期备注 VER1.0I_A 字库芯片说明书的制定 字库定制 V1.1I_A 增加 CP437 特殊字符算法及样张 V1.1I_B 增加状态寄存器说明 V1.1I_C 增加自由读写空间对应烧录器中的型号 V1 GT32L24F0210 标准点阵多国语言字库芯片 V1.1I_D 2015-5 1 版本修订记录 版本号修改内容日期备注 VER1.0I_A 字库芯片说明书的制定 2013-01 字库定制 V1.1I_A 增加 CP437 特殊字符算法及样张 2013-01 V1.1I_B 增加状态寄存器说明 2013-03 V1.1I_C 增加自由读写空间对应烧录器中的型号 2013-06 V1.1I_D 字库芯片说明书格式修改

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

电源管理类产品

电源管理类产品 CN705/706/707/708/813 CN705/706/707/708/813 CN705/706/707/708/813 5.0 0.1 1.22 5V 52 CN705/706/707/708/813 CN705/706/813 1.6 CN707/708 CN813 8 DIP8 8 CN705/706 CN705/706/707/708/813 8 MR 1 DIP8 8 SOP8

More information

兽医临床诊断学实验指导

兽医临床诊断学实验指导 兽 医 临 床 诊 断 学 实 验 指 导 河 北 科 技 师 范 学 院 动 物 科 学 系 临 床 兽 医 学 实 验 室 2009 年 2 月 目 录 学 生 实 验 守 则... 3 实 习 一 动 物 的 接 近 保 定 和 基 本 检 查 法...4 实 习 二 临 床 基 本 检 查 法 及 一 般 检 查...10 实 习 三 循 环 系 统 的 临 床 检 查...15 实 习 四

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc Wire Wound SMD Power Inductors SWRH-B Series Operating Temperature: -25 ~+105 (Including self-heating) FEATURES Various high power inductors are superior to be high saturation Suitable for surface mounting

More information

Microsoft Word - FG12232A.doc

Microsoft Word - FG12232A.doc 图形点阵液晶显示模块使用手册 FG2232A 广州市方舟电子有限公司 公司网站 :www.arkteck.com 地址 : 广州市天河区东圃桃园路 33 号 5 楼电话 :2-82574878 82574677 8854485 传真 :82574878 82574677 分机 88 目 录 ( 一 ) 基本特性 () ( 二 ) 原理图 (2) ( 三 ) 限定参数 (2) ( 四 ) 直流特性 (2)

More information

Microsoft Word - 澎湖田調報告_璉謙組.doc

Microsoft Word - 澎湖田調報告_璉謙組.doc 越 籍 新 住 民 妊 娠 醫 療 照 護 : 訪 談 李 亞 梅 女 士 組 長 : 郭 璉 謙 成 大 中 文 所 博 二 組 員 : 阮 壽 德 成 大 中 文 所 博 一 黃 榆 惠 成 大 中 文 所 碩 一 許 愷 容 成 大 中 文 所 碩 一 何 珍 儀 成 大 中 文 所 碩 一 指 導 老 師 : 陳 益 源 教 授 前 言 2009 年 03 月 21 日, 下 午 2 時 30

More information

Microsoft Word - SP-DM13A-A.003.doc

Microsoft Word - SP-DM13A-A.003.doc Version : A.003 Issue Date : 2007/12/12 File Name Total Pages : 20 : SP--A.003.doc 16-bit Constant Current LED Driver 新竹市科學園區展業一路 9 號 7 樓之 1 9-7F-1, Prosperity Road I, Science Based Industrial Park, Hsin-Chu,

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

僑生(含港澳生)及外籍生參加全民健康保險實施要點

僑生(含港澳生)及外籍生參加全民健康保險實施要點 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 參 加 全 民 健 康 保 險 實 施 要 點 中 央 健 康 保 險 局 八 十 九 年 一 月 十 八 日 核 定 施 行 壹 承 保 一 投 保 資 格 : ( 一 ) 持 有 居 留 證 明 文 件 之 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 來 台 就 學 者, 自 居 留 滿 四 個 月 時 起, 即 應 依 法 強 制 參 加 全

More information

标题

标题 广 西 壮 族 自 治 区 人 民 政 府 关 于 促 进 广 西 北 部 湾 经 济 区 开 放 开 发 的 若 干 政 策 规 定 总 则 第 一 条 为 深 入 贯 彻 落 实 党 中 央 国 务 院 关 于 加 快 广 西 北 部 湾 经 济 区 ( 以 下 简 称 经 济 区 ) 开 放 开 发 的 重 大 战 略 部 署, 按 照 把 经 济 区 建 设 成 为 带 动 西 部 大 开

More information

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC General Description: IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC 15K 300pF 256KHZ default on 32 function key Built-in TT6221/TT6222 decoder Provide

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

版本修订记录 版本号修改内容日期备注 V1.0I_A 字库芯片说明书的制定 字库定制 V1.0I_B 增加自由读写空间对应烧录器中的型号 V1.1II_A 增加 UNICODE 转 GB 转码表 V1.1II_B 字库芯片说明书修改

版本修订记录 版本号修改内容日期备注 V1.0I_A 字库芯片说明书的制定 字库定制 V1.0I_B 增加自由读写空间对应烧录器中的型号 V1.1II_A 增加 UNICODE 转 GB 转码表 V1.1II_B 字库芯片说明书修改 GT32L24A180 标准点阵中外文字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V1.0I_A 字库芯片说明书的制定 2013-04 字库定制 V1.0I_B 增加自由读写空间对应烧录器中的型号 2013-06 V1.1II_A 增加 UNICODE 转 GB 转码表 2013-07 V1.1II_B 字库芯片说明书修改 2015-05 2 目 录 1 概述...

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

Current Sensing Chip Resistor

Current Sensing Chip Resistor 承認書 APPROVAL SHEET 廠商 : 客戶 : 麗智電子 ( 昆山 ) 有限公司 核準審核制作核準審核簽收 公 司 章 公 司 章 Liz Electronics (Kunshan) Co., LTD No. 989, Hanpu Road Kunshan City Jiangsu Province China Tel:0086-0512-57780531 Fax:0086-0512-57789581

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

/ / / , DOCNO: SG J REV11-2 -

/ / / , DOCNO: SG J REV11-2 - SG240128-01J LCD Version11 LED VDD SG240128SYD-01JSYE STN 80 50V 50V SG240128FPD-01JSWE FSTN 80 50V 50V SG240128SBD-01JSWE STN 80 50V 50V SG240128-01J 1 33V 2 LCM LCD 3 LED 4 1 LCD 2 3 : 010-80750102 /03

More information

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M 705/706/707/708/813 HM705/706/707/708/813 1.15 HM705/706/707/708/813 2.6 5.0 0.1 1.22 5V 52 200 HM705/706/707/708/813 1.6 HM705/706/813 1.6 705/706/707/708/813 HM707/708 HM813 8 DIP8 8 SOP8 HM705/706 :

More information

untitled

untitled 0000137925 REV 1.0 ... 4... 5... 6... 7... 8... 9... 11... 12... 13... 14... 15... 17... 18... 20... 22 ( 1)... 25... 26 ( 2)... 28 \ 1 ( 2A)... 29 \ 2 ( 2B)... 30 SSR ( 2C)... 31 \ ( 2D)... 32 \ ( 3A)...

More information

STK2139 Datasheet

STK2139 Datasheet 太欣半導體股份有限公司 SYNTEK SEMICONDUCTOR CO., LTD. USB 2.0 Video Class PC Camera Controller STK2139 Datasheet Released Version: V1.4 Content STK2139 1 PRODUCT OVERVIEW... 4 2 FUNCTIONAL BLOCK DIAGRAM... 5 3 PRODUCT

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

電器用電量調查說明

電器用電量調查說明 電 器 用 電 量 調 查 說 明 電 器 用 電 量 調 查 表 填 寫 須 知 填 寫 電 器 用 電 量 調 查 表 時, 請 按 照 第 一 欄 所 列 的 項 目 提 供 資 訊 或 進 行 運 算 目 標 是 算 出 單 月 用 電 量 有 多 少 瓩 小 時 ( 第 十 二 列 ) 首 先, 算 出 某 項 電 器 平 均 每 日 開 啟 時 數 ( 第 三 列 ), 再 乘 以 30

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

开关电源入门.PPT [兼容模式]

开关电源入门.PPT [兼容模式] 1. 开 关 电 源 概 念 的 引 入 开 关 电 源 入 门 介 绍 1.1 电 源 的 重 要 性 : ( 对 电 源 的 理 解!) 电 源 犹 如 人 体 的 心 脏, 是 所 有 电 器 设 备 的 动 力 一 切 设 备 需 要 电 源 ; 设 备 更 新, 电 源 也 跟 随 更 新 市 电 220Vac/50Hz 通 常 不 能 直 接 给 设 备 供 电, 因 为 不 同 的 设

More information

untitled

untitled 1....... 1 2... 3 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7.5V.. 2-8. 3 4 4 4 5 5 6 6 3... 7 4..... 9 4-1. 4-2. 5.... 15 5-1.... 5-2.(Current Limit).. 5-3./(Constant Voltage/ Constant Current).. 5-4.... 15 16

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

绯荤粺A4鐗堟湰鍗曢〉

绯荤粺A4鐗堟湰鍗曢〉 2011 +86-10-60290088 600 690 470 970 670 1000 194 130 294 65 85 150 82 90 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088

More information

発表の概要

発表の概要 2008 09 : : (Yokogawa Electric Corporation) 1915 9 1 : : 36 (2006 3 31 ) : 19,200 : : 110 29 Headquarters Regional Headquarters Production Facilities Sales, Engineering and Service Centers : 59 2007 9

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

DL1621-1

DL1621-1 General Description: DL1621-1, 含 LCD 的控制线路, 搭配 MCU 来使用, 将使使用者的成本降低, 以及应用更加. 宽广 Features: 工作电压 2.4V-5.5V 系统频率 : - 内建 RC 振荡器 (256Khz) - 外挂 32768Hz 晶振 - 外灌 256Khz 输入 ( 由 OSCI 脚 ) 提供简单 3 pins 串接接口 (CKRB/ CKWB/

More information

规格书 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量

规格书     机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 http://www.zzydz.cn www.zzydz.com 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 : 送样日期 : 批准 审核 客户签名 客户签名 拟制审核批准 第 2 页共 9 页 CONTENTS 1.0

More information