AD54/AD542 目录 特性... 应用... 概述... 配套产品... 功能框图... 修订历史... 2 技术规格... 交流工作特性... 5 时序特性... 5 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 典型性能参数... 术语... 5 工作原理

Size: px
Start display at page:

Download "AD54/AD542 目录 特性... 应用... 概述... 配套产品... 功能框图... 修订历史... 2 技术规格... 交流工作特性... 5 时序特性... 5 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 典型性能参数... 术语... 5 工作原理"

Transcription

1 单通道 2/6 位 串行输入 4 ma 至 2 ma 电流源 DAC AD54/AD542 特性 2/6 位分辨率和单调性电流输出范围 :4 ma 至 2 ma ma 至 2 ma 或 ma 至 24 ma 总非调整误差 (TUE):±.% FSR( 典型值 ) 输出温漂 :± ppm/ C( 典型值 ) 灵活的串行数字接口片内输出故障检测片内基准电压源 ( 最大温漂 ppm/ C) 输出电流的反馈和监控异步清零功能电源 ( ) 范围.8 V 至 4 V;AD54AREZ/AD542AREZ.8 V 至 6 V;AD54ACPZ/AD542ACPZ 输出环路顺从电压 : 2.5 V 温度范围 : 4 C 至 +85 C 24 引脚 TSSOP 和 4 引脚 LFCSP 封装 应用过程控制执行器控制 PLC HART 网络连接 概述 AD54/AD542 是低成本 精密 完全集成的 2/6 位转换器, 提供可编程电流源输出, 可满足工业过程控制应用的需求 输出电流范围可编程设置为 4 ma 至 2 ma ma 至 2 ma 或者 ma 至 24 ma 的超量程 输出具有开路保护功能 该器件采用.8 V 至 6 V 的电源 ( ) 供电 输出环路电源电压限制在 V 至 2.5 V 灵活的串行接口为 SPI MICROWIRE QSPI 和 DSP 兼容接口, 可在三线式模式下工作, 最小化了隔离应用中所需的数字隔离电路降至最少 该器件还包含一个确保器件在已知状态下上电的上电复位功能, 以及一个将输出设定为所选电流范围低端的异步清零 (CLEAR) 引脚 总非调整误差典型值为 ±.% FSR 配套产品 HART 调制解调器 :AD57 AD57- 功能框图 DV CC SELECT DV CC CAP CAP2 CLEAR AD54/AD542 R2 R R SENSE BOOST LATCH SCLK SDIN SDO INPUT SHIFT REGISTER AND CONTROL LOGIC 2/6 2-/6-BIT DAC I OUT FAULT POWER- ON RESET VREF R SET R SET REFOUT REFIN GND 727- 图. Rev. E Document Feedback Information furnished by Analog Devices is believed to be accurate and reliable. However, no responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other rights of third parties that may result from its use. Specifications subject to change without notice. No One Technology Way, P.O. Box 96, Norwood, MA , U.S.A. license is granted by implication or otherwise under any patent or patent rights of Analog Devices. Tel: Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. Technical Support ADI 中文版数据手册是英文版数据手册的译文, 敬请谅解翻译中可能存在的语言组织或翻译错误,ADI 不对翻译中存在的差异或由此产生的错误负责 如需确认任何词语的准确性, 请参考 ADI 提供的最新英文版数据手册

2 AD54/AD542 目录 特性... 应用... 概述... 配套产品... 功能框图... 修订历史... 2 技术规格... 交流工作特性... 5 时序特性... 5 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 典型性能参数... 术语... 5 工作原理... 6 架构... 6 串行接口... 6 上电状态... 9 传递函数... 9 数据寄存器... 9 控制寄存器... 9 复位寄存器... 2 状态寄存器... 2 AD54/AD542 特性... 2 故障报警... 2 异步清零 (CLEAR)... 2 内部基准电压源... 2 外部电流设置电阻... 2 数字电源... 2 外部增强功能... 2 HART 通信 数字压摆率控制 I OUT 滤波电容 输出电流的反馈和监控 应用信息 驱动感性负载 瞬态电压保护 布局指南 电流隔离接口 微处理器接口 散热和电源考量 兼容工业 HART 的模拟输出应用 外形尺寸 订购指南 修订历史 2 年 月 修订版 D 至修订版 E 更改表 增加图 4, 重新排序... 9 更改表... 2 更改散热和电源考量部分及表 更新外形尺寸 年 5 月 修订版 C 至修订版 D 重新组织布局... 通篇更改产品名称... 增加 配套产品 部分 ; 更改 特性 部分和 应用 部分... 更改表 更改图 8... 增加 HART 通信 部分和图 4, 重新排序... 2 更改 兼容工业 HART 的模拟输出应用 部分和图 年 月 修订版 B 至修订版 C 更改表 年 2 月 修订版 A 至修订版 B 修改图 年 8 月 修订版 至修订版 A 修改特性和概述部分... 修改表... 修改表 修改表 4 说明和表 增加图 6, 修改图 5 和表 增加输出电流的反馈 / 监控部分, 包括图 45 至图 47; 重排后续各图的编号... 2 修改散热和电源考虑部分及表 更新外形尺寸 修改订购指南 年 月 修订版 : 初始版 Rev. E Page 2 of 2

3 AD54/AD542 技术规格 =.8 V 至 26.4 V,GND = V,REFIN = 5 V 外部基准电压,DV CC = 2.7 V 至 5.5 V,R LOAD = Ω; 除非另有说明, 所有 规格在 T MIN 至 T MAX 范围 表. 参数 最小值 典型值 最大值 单位 测试条件 / 注释 输出电流范围 24 ma 2 ma 4 2 ma 精度 ( 内部 R SET ) 分辨率 6 位 AD542 2 位 AD54 总非调整误差 (TUE). +. % FSR AD542. ±.8 +. % FSR AD542, TA = 25 C % FSR AD54. ±.5 +. % FSR AD54, TA = 25 C 相对精度 (INL) % FSR AD % FSR AD54 差分非线性 (DNL) + LSB 保证单调性 失调误差 % FSR.2 ± % FSR TA = 25 C 失调误差温度系数 (TC) ±6 ppm FSR/ C 增益误差 % FSR AD542. ±.6 +. % FSR AD542, TA = 25 C AD54.6 ± AD54, TA = 25 C 增益误差温度系数 (TC) ± ppm FSR/ C 满量程误差 % FSR. ±.8 +. % FSR TA = 25 C 满量程误差温度系数 (TC) ±2 ppm FSR/ C 精度 ( 外部 R SET ) 假设理想 5 kω 电阻 分辨率 6 位 AD542 2 位 AD54 总非调整误差 (TUE) % FSR AD542.6 ±. +.6 % FSR AD542, TA = 25 C. +. % FSR AD54. ±.2 +. % FSR AD54, TA = 25 C 相对精度 (INL) % FSR AD % FSR AD54 差分非线性 (DNL) + LSB 保证单调性 失调误差. +. % FSR. ±.6 +. % FSR TA = 25 C 失调误差温度系数 (TC) ± ppm FSR/ C 增益误差 % FSR.5 ±. +.5 % FSR TA = 25 C 增益误差温度系数 (TC) ±4 ppm FSR/ C 满量程误差 % FSR.6 ±. +.6 % FSR TA = 25 C 满量程误差温度系数 (TC) ±7 ppm FSR/ C 输出特性 电流环路顺从电压 AVDD 2.5 V 输出电流漂移与时间的关系 5 ppm FSR 内部 R SET,25 C 下 小时后漂移 2 ppm FSR 外部 R SET,25 C 下 小时后漂移 阻性负载 2 Ω 感性负载 5 mh TA = 25 C 直流电源抑制比 (PSRR) µa/v Rev. E Page of 2

4 AD54/AD542 参数 最小值 典型值 最大值 单位 测试条件 / 注释 输出阻抗 5 MΩ 输出电流泄漏 6 pa 输出禁用 R 电阻值 Ω TA = 25 C R 电阻温度系数 (TC) ppm/ C I BIAS 电流 µa I BIAS 电流温度系数 (TC) ppm/ C 基准电压输入 / 输出 基准电压输入基准输入电压 V 额定性能 直流输入阻抗 25 kω 基准电压输出输出电压 V TA = 25 C 基准电压 TC, 4.8 ppm/ C 输出噪声 (. Hz 至 Hz ) 8 µv p-p 噪声频谱密度 nv/ khz 输出电压漂移与时间的关系 5 ppm 小时后漂移,T A = 25 C 容性负载 6 nf 负载电流 5 ma 短路电流 7 ma 负载调整率 95 ppm/ma 数字输入 符合 JEDEC 标准 输入高电压 V IH 2 V 输入低电压 V IL.8 V 输入电流 + µa 每引脚 引脚电容 pf 每引脚 数字输出 SDO 输出低电压 V OL.4 V 2 μa 吸电流 输出高电压 V OH DVCC.5 V 2 μa 源电流 高阻抗漏电流 + µa 高阻抗输出电容 5 pf FAULT.4 V kω 上拉电阻接 DV CC OL 输出低电压 V OL.6 V 2.5 ma 负载电流 输出高电压 V OH.6 V kω 上拉电阻接 DV CC 电源要求.8 4 V TSSOP 封装.8 6 V LFCSP 封装 DV CC 输入电压 V 内部电源禁用 输出电压 4.5 V 可采用最高 5.5 V 电源过驱 DV CC 输出负载电流 5 ma 短路电流 2 ma AI DD ma 输出禁用 4 ma 输出使能 DI CC ma VIH = DVCC, VIL = GND 功耗 44 mw AVDD = 4 V, IOUT = ma 5 mw AVDD = 5 V, IOUT = ma 2 4 温度范围 : 4 C 至 +85 C, 典型值 +25 C 对于 ma 至 2 ma 和 ma 至 24 ma 范围,INL 采用码 256 (AD542) 和码 6 (AD54) 测量 过设计和表征保证, 未经生产测试 片内基准电压源在 25 C 和 85 C 进行生产调整和测试 ; 表征温度范围 4 C 至 +85 C Rev. E Page 4 of 2

5 AD54/AD542 交流工作特性 =.8 V 至 26.4 V,GND = V,REFIN = 5 V 外部基准电压,DV CC = 2.7 V 至 5.5 V,R LOAD = Ω; 除非另有说明, 所有规 格在 T MIN 至 T MAX 范围 表 2. 参数 最小值典型值最大值单位 测试条件 / 注释 动态性能 2 输出电流建立时间 µs 6 ma 步进, 至.% FSR 4 µs 6 ma 步进, 至.% FSR,L = mh AC PSRR 75 db 2 mv 5 Hz/6 Hz 正弦波叠加于电源电压上 2 表., 2, 参数 T MIN T MAX 时的限值 单位 描述 写入模式 t ns, 最小值 SCLK 周期时间 t2 ns, 最小值 SCLK 低电平时间 t ns, 最小值 SCLK 高电平时间 t4 ns, 最小值 LATCH 延迟时间 t5 4 ns, 最小值 LATCH 高电平时间 t5 5 µs, 最小值 对控制寄存器执行一次写操作后的 LATCH 高电平时间 t6 5 ns, 最小值 数据建立时间 t7 5 ns, 最小值 数据保持时间 t8 4 ns, 最小值 LATCH 低电平时间 t9 2 ns, 最小值 CLEAR 脉冲宽度 t 5 μs, 最大值 CLEAR 激活时间 回读模式 t 9 ns, 最小值 SCLK 周期时间 t2 4 ns, 最小值 SCLK 低电平时间 t 4 ns, 最小值 SCLK 高电平时间 t4 ns, 最小值 LATCH 延迟时间 t5 4 ns, 最小值 LATCH 高电平时间 t6 5 ns, 最小值 数据建立时间 t7 5 ns, 最小值 数据保持时间 t8 4 ns, 最小值 LATCH 低电平时间 t9 5 ns, 最小值串行输出延迟时间 (C L SDO = 5 pf) 4 t2 5 ns, 最小值 LATCH 上升沿至 SDO 三态 菊花链模式 通过设计和表征保证, 未经生产测试 数字压摆率控制特性禁用,CAP = CAP2 = 开路 时序特性 =.8 V 至 26.4 V,GND = V,REFIN = 5 V 外部基准电压,DV CC = 2.7 V 至 5.5 V,R LOAD = Ω; 除非另有说明, 所有规 格在 T MIN 至 T MAX 范围 t2 9 ns, 最小值 SCLK 周期时间 t22 4 ns, 最小值 SCLK 低电平时间 t2 4 ns, 最小值 SCLK 高电平时间 t24 ns, 最小值 LATCH 延迟时间 t25 4 ns, 最小值 LATCH 高电平时间 t26 5 ns, 最小值 数据建立时间 t27 5 ns, 最小值 数据保持时间 t28 4 ns, 最小值 LATCH 低电平时间 t29 5 ns, 最大值串行输出延迟时间 (C L SDO = 5 pf) 4 通过表征保证, 未经生产测试 2 所有输入信号均指定 t R = t F = 5 ns(% 到 9% 的 DV CC ) 并从.2 V 电平起开始计时 参见图 2 图 和图 4 4 C LSDO = SDO 输出端的容性负载 Rev. E Page 5 of 2

6 AD54/AD542 t SCLK 2 t 2 24 t t 4 t 5 LATCH t 6 t 7 t 8 SDIN DB2 DB CLEAR t 9 t I OUT 图 2. 写入模式时序图 t SCLK t 2 t t4 t 5 LATCH t 6 t 7 t 8 SDIN DB2 DB DB2 DB INPUT WORD SPECIFIES REGISTER TO BE READ NOP CONDITION t 9 t 2 SDO X DB5X X X DB UNDEFINED DATA FIRST 8 BITS ARE DON T CARE BITS 图. 回读模式时序图 SELECTED REGISTER DATA CLOCKED OUT 727- t 2 SCLK t 22 t 2 t 24 t 25 LATCH t 27 t 28 t 26 SDIN DB2 DB DB2 DB INPUT WORD FOR DAC N t 29 INPUT WORD FOR DAC N SDO DB2 UNDEFINED DB DB2 INPUT WORD FOR DAC N 图 4. 菊花链模式时序图 DB Rev. E Page 6 of 2

7 绝对最大额定值除非另有说明,T A = 25 C 高达 8 ma 的瞬态电流不会造成 SCR 闩锁 表 4. 参数 额定值 至 GND. V 至 +6 V DV CC 至 GND. V 至 +7 V 数字输入至 GND. V 至 DV CC +. V 或 +7 V ( 取较小者 ) 数字输出至 GND. V 至 DV CC +. V 或 +7 V ( 取较小者 ) REFIN REFOUT 至 GND. V 至 +7 V I OUT 至 GND. V 至 AVDD 工作温度范围 工业 4 C 至 +85 C 存储温度范围 65 C 至 +5 C 结温 (T J 最大值 ) 25 C 24 引脚 TSSOP_EP 封装 热阻 θ JA 5 C/W 2 热阻 θ JC 9 C/W 4 引脚 LFCSP 封装 热阻 θ JA C/W 2 热阻 θ JC 4 C/W 功耗 (T J 最大值 T A )/θ JA 引脚温度 JEDEC 工业标准 焊接 J-STD-2 ESD( 人体模型 ) 2 kv AD54/AD542 注意, 超出上述绝对最大额定值可能会导致器件永久性损坏 这只是额定最值, 并不能以这些条件或者在任何其它超出本技术规范操作章节中所示规格的条件下, 推断器件能否正常工作 长期在绝对最大额定值条件下工作会影响器件的可靠性 ESD 警告 ESD( 静电放电 ) 敏感器件 带电器件和电路板可能会在没有察觉的情况下放电 尽管本产品具有专利或专有保护电路, 但在遇到高能量 ESD 时, 器件可能会损坏 因此, 应当采取适当的 ESD 防范措施, 以避免器件性能下降或功能丧失 为使结温低于 25 C, 必须降低芯片额定功耗 这里假设最大功耗条件为从 AVDD 向地提供 24 ma 电流, 片内电流为 4 ma 2 热阻仿真值基于带散热通孔的 JEDEC 2S2P 热测试板 参考 :JEDEC JESD5 文档 Rev. E Page 7 of 2

8 NC GND GND GND GND E REFOUT REFIN NC NC D V C C 8 N C 7 GN D D AD54/AD542 引脚配置和功能描述 GND DV CC NC 4 C N AV D C N C N C N C N C N FAULT 22 CAP2 GND GND CLEAR LATCH SCLK SDIN AD54/ AD542 TOP VIEW (Not to Scale) CAP BOOST I OUT R SENSE NC DV CC SELECT NC FAULT 2 GND GND 4 CLEAR 5 LATCH 6 SCLK 7 SDIN 8 SDO 9 NC PIN INDICATOR AD54/AD542 TOP VIEW (Not to Scale) NC 29 CAP2 28 CAP 27 BOOST 26 I OUT 25 R SENSE 24 NC 2 DV CC SELECT 22 NC 2 NC SDO GND GND REFIN REFOUT R SET R S T 8 NOTES. NC = NO CONNECT. 2. GROUND REFERENCE CONNECTION. IT IS RECOMMENDED THAT THE EXPOSED PAD BE THERMALLY CONNECTED TO A COPPER PLANE FOR ENHANCED THERMAL PERFORMANCE. 图 5. TSSOP 引脚配置 NOTES. NC = NO CONNECT. 2. GROUND REFERENCE CONNECTION. IT IS RECOMMENDED THAT THE EXPOSED PAD BE THERMALLY CONNECTED TO A COPPER PLANE FOR ENHANCED THERMAL PERFORMANCE. 图 6. LFCSP 引脚配置 表 5. 引脚功能描述 TSSOP 引脚编号 LFCSP 引脚编号 引脚名称 描述, 4, 5, 2, 4, 4, 5, 7 GND 这些引脚必须接地 2 9 DVCC 数字电源引脚 电压范围从 2.7 V 至 5.5 V 2 FAULT 故障提醒 当检测到 I OUT 与 GND 之间开路或者检测到过温时, 该引脚置位低电平 FAULT 引脚为开漏输出, 必须通过上拉电阻 ( 典型值 kω) 连接到 DV CC 6 5 CLEAR 高电平有效输入 置位该引脚时, 输出电流设为 ma 或 4 ma 的初始值, 具体 7 6 LATCH 取决于设定的输出范围, 即 ma 至 2 ma ma 至 24 ma 还是 4 ma 至 2 ma 对正边沿敏感的锁存 上升沿并行将输入移位寄存器数据载入相关寄存器 如 8 7 SCLK 果是数据寄存器, 则也会更新输出电流 串行时钟输入 数据在 SCLK 的上升沿逐个输入移位寄存器 工作时钟速度最 高可达 MHz 9 8 SDIN 串行数据输入 数据在 SCLK 的上升沿必须有效 9 SDO 串行数据输出 在菊花链或回读模式下, 该引脚用于从器件逐个输出数据 数 据在 SCLK 的下降沿逐个输出 参见图 和图 4 2, GND 接地基准引脚 6 RSET 可以将一个外部精密 低漂移 5 kω 电流设置电阻连接到该引脚, 以改善器件的 整体性能 参见技术规格和 AD54/AD542 特性部分 4 7 REFOUT 内部基准电压输出 T A = 25 C 时,V REFOUT = 5 V ± 5 mv 典型温度漂移为.8 ppm/ C 5 8 REFIN 外部基准电压输入 针对额定性能,V REFIN = 5 V ± 5 mv 6 2 DVCC 当该引脚接 GND 时, 内部电源禁用, 必须将外部电源接到 DV CC 引脚 不连接该 SELECT 引脚时, 内部电源使能 参见 AD54/AD542 特性部分 7, 2,,, 9, 2, 2, 22, 24,,, 2,, 4, 5, 8, 4 NC 请勿连接这些引脚 Rev. E Page 8 of 2

9 AD54/AD542 TSSOP 引脚编号 LFCSP 引脚编号 引脚名称 描述 8 25 RSENSE 在该引脚与 BOOST 引脚之间测得的电压与输出电流成正比, 可以用于监控和反 9 26 IOUT 馈特性 该引脚只应用作电压检测输出 ; 不应从该引脚引出电流 参见 AD54/AD542 特性部分 电流输出引脚 2 27 BOOST 可选外部晶体管连接 连接外部晶体管可降低 AD54/AD542 的功耗 参见 AD54/AD542 特性部分 2 28 CAP 可选输出滤波电容的连接引脚 参见 AD54/AD542 特性部分 CAP2 可选输出滤波电容的连接引脚 参见 AD54/AD542 特性部分 另外,HART 输入连接参见 产品特性 部分 24 6 AVDD 正模拟电源引脚 电压范围从.8 V 至 4 V 25 (EPAD) 4 (EPAD) 裸露焊盘 接地基准连接 建议将裸露焊盘与一个铜片形成散热连接, 以增强散热性能 Rev. E Page 9 of 2

10 AD54/AD542 典型性能参数.4.2 EXTERNAL R SET INTERNAL R SET EXTERNAL R SET, BOOST TRANSISTOR INTERNAL R SET, BOOST TRANSISTOR.4.2 = 24V ma TO 24mA RANGE INL ERROR (% FSR) = 2.4V R LOAD = 25Ω., 2,, 4, 5, 6, CODE 图 7. 积分非线性误差与码的关系 INL ERROR (% FSR) TEMPERATURE ( C) 图. 积分非线性误差与温度的关系 ( 内部 R SET ) = 24V R LOAD = 25Ω..2 = 24V ma TO 24mA RANGE DNL ERROR (LSB) INL ERROR (% FSR) EXTERNAL R SET INTERNAL R SET EXTERNAL R SET, BOOST TRANSISTOR INTERNAL R SET, BOOST TRANSISTOR., 2,, 4, 5, 6, CODE TEMPERATURE ( C) 图 8. 微分非线性误差与码的关系 图. 积分非线性误差与温度的关系 ( 外部 R SET ) TOTAL UNADJUSTED ERROR (% FSR) = 24V R LOAD = 25Ω. EXTERNAL R SET INTERNAL R SET. EXTERNAL R SET, BOOST TRANSISTOR INTERNAL R SET, BOOST TRANSISTOR.5, 2,, 4, 5, 6, CODE 图 9. 总体非调整误差与码的关系 DNL ERROR (LSB) = 24V ALL RANGES INTERNAL AND EXTERNAL R SET TEMPERATURE ( C) 图 2. 微分非线性误差与温度的关系 727- Rev. E Page of 2

11 AD54/AD542 TOTAL UNADJUSTED ERROR (%FSR) = 24V 4mA TO 2mA INTERNAL R SET ma TO 2mA INTERNAL R SET ma TO 24mA INTERNAL R SET 4mA TO 2mA EXTERNAL R SET ma TO 2mA EXTERNAL R SET ma TO 24mA EXTERNAL R SET TEMPERATURE ( C). 图. 总体非调整误差与温度的关系 727- INL ERROR (% FSR) (V).2 ma TO 24mA RANGE 图 6. 积分非线性误差与 的关系 ( 外部 R SET ) 727- OFFSET ERROR (% FSR).5.5. = 24V 4mA TO 2mA INTERNAL R SET.5 ma TO 2mA INTERNAL R SET ma TO 24mA INTERNAL R SET.2 4mA TO 2mA EXTERNAL R SET ma TO 2mA EXTERNAL R SET ma TO 24mA EXTERNAL R SET TEMPERATURE ( C) 图 4. 失调误差与温度的关系 INL ERROR (%FSR) (V). ma TO 24mA RANGE 图 7. 积分非线性误差与 的关系 ( 内部 R SET ) GAIN ERROR (% FSR) = 24V 4mA TO 2mA INTERNAL R SET ma TO 2mA INTERNAL R SET ma TO 24mA INTERNAL R SET 4mA TO 2mA EXTERNAL R SET ma TO 2mA EXTERNAL R SET ma TO 24mA EXTERNAL R SET TEMPERATURE ( C) 图 5. 增益误差与温度的关系 DNL ERROR (LSB) ma TO 24mA RANGE (V) 图 8. 微分非线性误差与 的关系 ( 外部 R SET ) Rev. E Page of 2

12 AD54/AD ma TO 24mA RANGE = 5V I OUT = 24mA R LOAD = 5Ω DNL ERROR (LSB) HEADROOM VOLTAGE (V) (V) 图 9. 微分非线性误差与 的关系 ( 内部 R SET ) TEMPERATURE ( C) 图 22. 顺从电压裕量与温度的关系 TOTAL UNADJUSTED ERROR (% FSR) ma TO 24mA RANGE (V).5 图 2. 总非调整误差与 的关系 ( 外部 R SET ) OUTPUT CURRENT (µa) TIME (µs) 2 = 24V R LOAD = 25Ω 图 2. 上电时输出电流与时间的关系 TOTAL UNADJUSTED ERROR (%FSR) ma TO 24mA RANGE (V) 图 2. 总体非调整误差与 的关系 ( 内部 R SET ) OUTPUT CURRENT (µa) 2 4 = 24V R LOAD = 25Ω TIME (µs) 图 24. 输出使能时输出电流与时间的关系 Rev. E Page 2 of 2

13 AD54/AD DI CC (µa) DV CC = 5V REFERENCE OUTPUT 2 DV CC = V LOGIC VOLTAGE (V) 图 25. DI CC 与逻辑输入电压的关系 CH 2.V CH 5.V M2µs CH 2.V 图 28. 基准电压开启瞬态响应 I OUT = ma.5 AI DD (ma) (V) 图 26. AI DD 与 的关系 CH 2µV M2.s LINE.8V 图 29. 基准源噪声 (. Hz 至 Hz 带宽 ) DV CC OUTPUT VOLTAGE (V) LOAD CURRENT (ma) 图 27. DV CC 输出电压与负载电流的关系 CH 2µV M2.s LINE V 图. 基准源噪声 ( khz 带宽 ) Rev. E Page of 2

14 AD54/AD542 LEAKAGE CURRENT (pa) = 4V OUTPUT DISABLED COMPLIANCE VOLTAGE (V) 图. 输出漏电流与顺从电压的关系 REFERENCE OUTPUT VOLTAGE (V) LOAD CURRENT (ma) 图 4. 基准输出电压与负载电流的关系 = 24V 727- REFERENCE OUTPUT VOLTAGE (V) DEVICES SHOWN = 24V TEMPERATURE ( C) 45 图 2. 基准输出电压与温度的关系 OUTPUT CURRENT (µa) = 24V R LOAD = 25Ω TIME (µs) 图 5. 数模转换毛刺 x8 TO x7fff x7fff TO x POPULATION (%) = 24V TEMPERATURE COEFFICIENT (ppm/ C) 图. 基准电压温度系数直方图 727- OUTPUT CURRENT (ma) = 24V R LOAD = Ω TIME (µs) 图 6. 4 ma 至 2 ma 输出电流步进 Rev. E Page 4 of 2

15 术语 相对精度或积分非线性 (INL) 对于 DAC, 相对精度或积分非线性 (INL) 是指 DAC 输出与 通过 DAC 端点的传递函数直线之间的最大偏差, 用满量程 范围的百分比表示 (% FSR) 图 7 给出了典型的 INL 与码的关系图 差分非线性 (DNL) 差分非线性 (DNL) 是指任意两个相邻码之间所测得变化值与理想的 LSB 变化值之间的差异 最大 ± LSB 的额定微分非线性可确保单调性 本 DAC 通过设计保证单调性 图 8 给出了典型的 DNL 与码的关系图 总非调整误差 (TUE) 总非调整误差 (TUE) 衡量包括所有误差在内的总输出误差, 即 INL 误差 失调误差 增量误差以及在电源电压和温度范围内的输出漂移, 用满量程范围的百分比表示 (% FSR) 图 9 给出了典型的 TUE 与码的关系图 单调性如果一个 DAC 的模拟输出随着数字输入码的增加而增加, 或者保持不变, 则该 DAC 是单调的 AD54/AD542 在整个工作温度范围内是单调的 满量程误差满量程误差衡量将满量程码载入 DAC 数据寄存器时的输出误差 理想情况下, 输出应为满量程 LSB 该误差表示为满量程范围的百分比 (% FSR) AD54/AD542 增益误差温度系数 (TC) 增益误差 TC 衡量增益误差随温度的变化, 用 ppm FSR/ C 表示 电流环路顺从电压电流环路顺从电压是指输出电流与编程值相等情况下 I OUT 引脚的最大电压 电源抑制比 (PSRR) PSRR 表示电源电压变化对 DAC 输出的影响大小 基准电压源温度系数 (TC) 基准电压源 TC 衡量基准输出电压随温度的变化 它利用黑盒法计算, 即将 TC 定义为基准输出在给定温度范围内的最大变化, 用 ppm/ C 表示 ; 计算公式如下 : VREFmax VREFmin 6 TC = VREFnom TempRange 其中 : V REFmax 表示在整个温度范围内测得的最大基准输出 V REFmin 表示在整个温度范围内测得的最小基准输出 V REFnom 表示标称基准输出电压 5 V TempRange 表示额定温度范围 4 C 至 +85 C 基准负载调整率负载调整率衡量负载电流一定变化所引起的基准输出电压变化, 用 ppm/ma 表示 满量程误差温度系数 (TC) 满量程误差 TC 衡量满量程误差随温度的变化, 用 ppm FSR/ C 表示 增益误差增益误差是衡量 DAC 量程误差的指标 它是指 DAC 传递特性的斜率与理想值之间的偏差, 用满量程范围的百分比表示 (% FSR) 图 5 给出了增益误差与温度的关系图 Rev. E Page 5 of 2

16 AD54/AD542 工作原理 AD54/AD542 是精密数字电流环路输出转换器, 专为满足工业过程控制应用的需求而设计 它们为产生电流环路输出提供了一种高精度 全集成 低成本的单芯片解决方案 可用电流输出范围为 ma 至 2 ma ma 至 24 ma 和 4 ma 至 2 ma 所需的输出配置可由用户通过控制寄存器选择 架构 AD54/AD542 的 DAC 内核架构由 2 个匹配的 DAC 部分组成 图 7 给出了简化电路图 2 位或 6 位数据字的 4 个 MSB 经解码后, 驱动 5 个开关 (E 至 E5) 每个开关都将 5 个匹配电阻中的一个与地或基准电压缓冲输出相连 数据字的其余 8/2 位驱动 8/2 位电压模式 R-2R 梯形网络的开关 S 至 S7 或开关 S 至 S V REFIN 2R 2R S 2R S 2R S7/S 2R E 2R E2 2R E5 V OUT 的上升沿逐个输入 输入移位寄存器由 8 个地址位和 6 个数据位组成, 如表 6 所示 该 24 位字在 LATCH 的上升沿无条件地被锁存 无论 LATCH 为何状态, 数据都会持续逐个输入 在 LATCH 的上升沿, 输入移位寄存器中存在的数据被锁存 ; 也就是说, 在 LATCH 上升沿之前待输入的最后 24 位就是锁存的数据 图 2 给出了这种操作的时序图 独立操作串行接口既可配合连续 SCLK 工作, 也可配合非连续 SCLK 工作 要使用连续 SCLK 源, 必须在输入正确数量的数据位之后, 将 LATCH 置为高电平 在选通时钟模式下, 必须使用一个含有该确切数量时钟周期的突发脉冲时钟, 并且 LATCH 必须在最后时钟之后变为高电平, 以锁存数据 输入数据字 MSB 的 SCLK 第一个上升沿标志着写入周期的开始 LATCH 变为高电平之前, 必须将正好 24 个上升时钟沿施加于 SCLK 如果 LATCH 在第 24 个 SCLK 上升沿之前变为高电平, 则写入的数据无效 如果在 LATCH 变为高电平之前施加了 24 个以上的 SCLK 上升沿, 输入数据同样无效 2-/6-BIT DAC 8-/2-BIT R-2R LADDER A 图 7. DAC 梯形结构 T R2 R SET FOUR MSBs DECODED INTO 5 EQUAL SEGMENTS DAC 内核的电压输出转换为电流 ( 见图 8), 然后镜像至供 电轨, 这样在该应用中, 将只出现一个相对于地的电流源输出 A2 图 8. 电压电流转换电路串行接口 AD54/AD542 通过多功能三线式串行接口进行控制, 能够以最高 MHz 的时钟速率工作, 兼容 SPI QSPI MICROW- IRE 和 DSP 标准 输入移位寄存器输入移位寄存器为 24 位宽 在串行时钟输入 SCLK 的控制下, 数据作为 24 位字以 MSB 优先的方式载入器件 数据在 SCLK T2 R I OUT 表 6. 输入移位寄存器格式 MSB DB2 至 DB6 DB5 至 DB 地址字节数据字 LSB 表 7. 地址字节功能地址字节功能 无操作 (NOP) 数据寄存器 按照读取地址 ( 见表 8) 回读寄存器值 控制寄存器 复位寄存器菊花链操作对于含有多个器件的系统, 可以用 SDO 引脚将多个器件以菊花链形式相连, 如图 9 所示 菊花链模式有助于系统诊断和减少串行接口线的数量 将控制寄存器中的 DCEN 位置, 可使能菊花链模式 输入数据字 MSB 的 SCLK 第一个上升沿标志着写入周期的开始 SCLK 连续施加于输入移位寄存器 如果施加 24 个以上的时钟脉冲, 数据将溢出输入移位寄存器, 而出现在 SDO 线上 在前一个 SCLK 下降沿输出的此数据在 SCLK 上升沿有效 将第一个器件的 SDO 连接到菊花链中下一个器件的 SDIN 输入, 可构建一个多器件接口 系统中的每个器件都需要 24 个时钟脉冲, 因此总时钟周期数必须等于 24 N, 其中 N 为菊花链中的 AD54/AD542 器件总数 当对所有器件的串行传输结束时,LATCH 变为高电平, 从而锁存菊花链中每个器件的输入数据 串行时钟可以是连续时钟或选通时钟 Rev. E Page 6 of 2

17 AD54/AD542 要使用连续 SCLK 源, 必须在施加正确数量的时钟周期之后, 将 LATCH 置为高电平 在选通时钟模式下, 必须使用一个含有该确切数量时钟周期的突发脉冲时钟, 并且 LATCH 必须在最后时钟之后变为高电平, 以锁存数据 时序图参见图 4 CONTROLLER DATA OUT SERIAL CLOCK CONTROL OUT DATA IN SDIN SCLK AD54/ AD542* LATCH SDO SDIN AD54/ AD542* SCLK LATCH SDO 回读操作写入输入移位寄存器时, 按照表 9 和表 8 所示设置地址字节和读取地址, 可激活回读模式 对 AD54/AD542 的下一个写操作应为 NOP 命令, 以输出之前寻址的寄存器中的数据, 如图 所示 SDO 引脚默认禁用 确定读操作所用的 AD54/AD542 寄存器地址之后,LATCH 上升沿使能 SDO 引脚, 并等待输出数据 数据在 SDO 上输出之后,LATCH 上升沿再次禁用 ( 三态 )SDO 引脚 例如, 要回读数据寄存器, 应当实施如下的操作序列 :. 将 x2 写入 AD54/AD542 输入移位寄存器 这将把器件配置为读取模式, 以读取所选的数据寄存器 2. 然后执行第二个写操作, 写入 NOP 条件 x 在该写操作期间, 数据寄存器中的数据在 SDO 线上输出 表 8. 读取地址解码 读取地址 功能 读取状态寄存器 读取数据寄存器 读取控制寄存器 SDIN AD54/ AD542* SCLK LATCH SDO *ADDITIONAL PINS OMITTED FOR CLARITY 图 9. AD54/AD542 的菊花链连接 表 9. 读操作的输入移位寄存器内容 MSB LSB DB2 DB22 DB2 DB2 DB9 DB8 DB7 DB6 DB5 to DB2 DB DB X 读取地址 x = 无关 Rev. E Page 7 of 2

18 AD54/AD542 POWER-ON SOFTWARE RESET CONTROL REGISTER WRITE (ONE WRITE COMMAND) SELECT R SET EXTERNAL/INTERNAL SET THE REQUIRED RANGE CONFIGURE THE SLEW RATE CONTROL (IF REQUIRED) CONFIGURE DAISY CHAIN MODE (IF REQUIRED) ENABLE THE OUTPUT CONTROL REGISTER WRITE DISABLE OUTPUT DATA REGISTER WRITE WRITE REQUIRED CODE TO DATA REGISTER R SET CONFIGURATION CHANGE RANGE CHANGE 图 4. 正确写入 / 使能输出的编程序列 727- Rev. E Page 8 of 2

19 I 上电状态 AD54/AD542 上电时, 上电复位电路确保所有寄存器均加载零码 因此, 输出禁用 ( 三态 ) 另外, 在上电时还会读取内部校准寄存器, 并将数据施加于内部校准电路 当 DV CC 电源上电触发读取事件时, 为确保读操作可靠执行, 电源必须有足够的电压 在 电源之后给 DV CC 电源上电可确保这一点 如果 DV CC 和 同时上电, 或者内部 DV CC 使能, 则这些电源应以大于 5 V/s 或 24 V/5 ms 的速率上电 如果无法实现这一点, 只需在 AD54/AD542 上电之后发出复位命令, 触发上电复位事件, 以便读取校准寄存器并确保 AD54/AD542 达到指定性能 传递函数针对 ma 至 2 ma ma 至 24 ma 和 4 ma 至 2 ma 电流输出范围, 输出电流分别表示为 : 2 ma 2 OUT = N I 24 ma 2 OUT = N D D 6 ma IOUT = + 4 ma 2 D N 其中 : D 是载入 DAC 的代码的十进制等效值 N 为 DAC 的位分辨率 AD54/AD542 数据寄存器将输入移位寄存器的地址字节设置为 x 可寻址数据寄存器 待写入数据寄存器的数据输入 DB5 至 DB4 (AD54) 或 DB5 至 DB (AD542), 如表 2 和表 所示 控制寄存器将输入移位寄存器的地址字节设置为 x55 可寻址控制寄存器 待写入控制寄存器的数据输入 DB5 至 DB, 如表 4 所示 控制寄存器各位的功能如表 所述 表. 控制寄存器位功能位描述 REXT 此位置 将选择外部电流设置电阻 详情参见 AD54/AD542 特性部分 使用外部电流设置电阻时, 建议仅在设置 OUTEN 位的同时设置 REXT 或者, 也可以在设置 OUTEN 位之前设置 REXT, 但必须在使能输出的写操作中更改范围 ( 参见表 ) 最佳做法请参见图 4 OUTEN 输出使能 此位必须置 才能使能输出 SR 时钟数字压摆率控制 参见 AD54/AD542 特性部分 SR 步进数字压摆率控制 参见 AD54/AD542 特性部分 SREN 数字压摆率控制使能 DCEN 菊花链使能 R2, R, R 输出范围选择 参见表 表. 输出范围选项 R2 R R 输出范围选择 4 ma 至 2 ma 电流范围 ma 至 2 ma 电流范围 ma 至 24 ma 电流范围 表 2. AD54 数据寄存器编程 MSB LSB DB5 DB4 DB DB2 DB DB DB9 DB8 DB7 DB6 DB5 DB4 DB DB2 DB DB 2 位数据字 X X X X x = 无关 表. AD542 数据寄存器编程 MSB LSB DB5 DB4 DB DB2 DB DB DB9 DB8 DB7 DB6 DB5 DB4 DB DB2 DB DB 6 位数据字 表 4. 控制寄存器编程 MSB LSB DB5 DB4 DB DB2 DB DB DB9 DB8 DB7 DB6 DB5 DB4 DB DB2 DB DB REXT OUTEN SR 时钟 SR 步进 SREN DCEN R2 R R Rev. E Page 9 of 2

20 AD54/AD542 复位寄存器将输入移位寄存器的地址字节设置为 x56 可寻址复位寄存器 复位寄存器含有一个复位位 DB, 如表 6 所示 将逻辑高电平写入此位可执行复位操作, 使器件恢复到上电状态 状态寄存器状态寄存器是一个只读寄存器, 其各位的功能如表 5 和表 7 所示 表 5. 状态寄存器位功能位位描述 IOUT 故障如果 I OUT 引脚上检测到故障, 该位置 压摆有效当输出有压摆时 ( 压摆率控制使能 ), 该位置 过温当 AD54/AD542 内核温度超过约 5 C 时, 该位置 表 6. 复位寄存器编程 MSB LSB DB5 DB4 DB DB2 DB DB DB9 保留 DB8 DB7 DB6 DB5 DB4 DB DB2 DB DB 复位 表 7. 状态寄存器解码 MSB DB5 DB4 DB DB2 DB DB DB9 DB8 DB7 DB6 DB5 DB4 DB DB2 DB DB 保留 IOUT 故障 压摆有效 过温 LSB Rev. E Page 2 of 2

21 AD54/AD542 AD54/AD542 特性 故障报警 AD54/AD542 配有一个 FAULT 引脚, 它是一个开漏输出, 允许多个 AD54/AD542 器件一起连接到一个上拉电阻, 以进行全局故障检测 下列任何一种情况都会使 FAULT 引脚强制有效 : 由于存在开环电路或电源电压不足,I OUT 上的电压试图上升至顺从电压之上 I OUT 电流由 PMOS 晶体管和内部放大器控制, 如图 8 所示 产生故障输出的内部电路避免使用具有窗口限值的比较器, 因为这样需要在 FAULT 输出变为有效之前产生一个实际的输出错误 相反, 该信号是在输出级中的内部放大器的剩余驱动能力小于约 V 时 ( 当输出 PMOS 晶体管的栅极接近地时 ) 产生 因此, FAULT 输出在快达到顺从电压限值之前激活 由于是在输出放大器的反馈环路内部进行比较的, 因此其开环增益可保持输出精度不变, 并且在 FAULT 输出变为有效之前不会发生输出错误 AD54/AD542 的内核温度超过约 5 C 状态寄存器的 I OUT 故障位和过温位与 FAULT 引脚一同使用, 以告知用户何种故障条件导致 FAULT 引脚置位 参见表 7 和表 5 异步清零 (CLEAR) CLEAR 为高电平有效清零, 输出电流清零至编程范围的底部 要完成该操作,CLEAR 必须保证在超过最短时间条件下 ( 见图 2) 保持高电平 当 CLEAR 信号返回低电平时, 输出仍然为清零值 发送脉冲使 LATCH 信号变为低电平而不输入任何数据, 可恢复预清零值 在 CLEAR 引脚返回低电平之前, 无法写入新值 外部电流设置电阻图 8 中,R SET 是一个内部检测电阻, 构成电压电流转换电路的一部分 输出电流在温度范围内的稳定性取决于 R SET 值的稳定性 AD54/AD542 的 R SET 引脚与地之间可以连接一个 5 kω 外部精密低漂移电阻, 以改善器件的整体性能 外部电阻通过控制寄存器进行选择, 参见表 4 数字电源 DV CC 引脚默认采用 2.7 V 至 5.5 V 电源供电 但是, 也可以将内部 4.5 V 电源经由 DV CC SELECT 引脚输出到 DV CC 引脚, 以用作系统中其它器件的数字电源, 或者用作上拉电阻的端电极 这样做的好处是使数字电源不必跨越隔离栅 使 DV CC SELECT 引脚处于未连接状态, 便可使能内部电源 若要禁用内部电源,DV CC SELECT 应连接到 V DV CC 可以提供最高 5 ma 的电流 负载调整图参见图 27 外部增强功能增加一个外部增强晶体管, 如图 4 所示, 可减小片内输出晶体管 ( 由外部电路的电流增益驱动 ) 中的电流, 从而降低 AD54/AD542 的功耗 可以使用击穿电压 BV CEO 大于 4 V 的分立 NPN 型晶体管 外部增强能力使得 AD54/AD542 能够用在电源电压 负载电流和温度范围的极值条件下 增强晶体管也可以减小温度所引起的漂移量, 使片内基准电压源的温度漂移降至最小, 改善漂移和线性性能 BOOST AD54/ AD542 I OUT MJDC OR 2N5 内部基准电压源 AD54/AD542 内置一个集成 +5 V 基准电压源, 初始精度最大值为 ±5 mv, 温度漂移系数最大值为 ppm/ C 该基准电压源带缓冲, 可供外部使用, 用于系统内的其它地方 关于该集成基准源的负载调整图, 请参见图 4.22µF 图 4. 外部增强配置 kω R L Rev. E Page 2 of 2

22 AD54/AD542 HART 通信 AD54/AD542 包含一个 CAP2 引脚, 可以将 HART 信号 耦合到该引脚 HART 信号出现在电流输出端 ( 如果该输出 已使能 ) 为了获得 ma 峰峰值电流,CAP2 引脚处的信号幅 度必须为 48 mv 峰峰值 假定调制解调器的输出幅度为 5 mv 峰峰值, 则其输出必须经过 5/48 =.42 倍衰减 如果使用此电压, 电流输出应符合 HART 幅度要求 图 42 所示为衰减和耦合 HART 信号的推荐电路 HART MODEM OUTPUT C AVDD C2 图 42. 耦合 HART 信号 CAP2 确定电容的绝对值时, 要确保调制解调器的 FSK 输出无失真通过 因此, 调制解调器信号输出端的信号的带宽必须通过 2 Hz 和 22 Hz 频率 推荐值为 :C = 2.2 nf,c2 = 22 nf 为了达到 HART 的模拟变化速率要求, 必须以数字方式控制输出的压摆率 数字压摆率控制 AD54/AD542 的压摆率控制特性允许用户控制输出电流的变化速率 压摆率控制特性禁用时, 输出电流以大约 6 ma/ μs 的速率变化 ( 见图 6), 该速率会随负载条件而变化 为了降低压摆率, 可以使能压摆率控制特性 通过控制寄存器的 SREN 位使能该特性 ( 见表 4) 之后, 输出将以两个参数所定义的一个速率发生数字式步进变化, 而不是直接在两个值之间摆动 这两个参数是 SR 时钟和 SR 步进, 可通过控制寄存器进行访问, 如表 4 所示 SR 时钟定义数字压摆的更新速率,SR 步进定义输出值在每次更新时的变化幅度 这两个参数共同定义输出电流的变化速率 表 8 和表 9 列出了 SR 时钟和 SR 步步进二者的变化范围 图 4 显示了缓升时间 ms 5 ms 和 ms 对应的输出电流变化 表 8. 压摆率更新时钟值 SR 时钟 更新时钟频率 (Hz) 257,7 98,4 52,44,58 5,74 69,44 7,59 25,77 2,6 6,, 表 9. 压摆率步进大小选项 SR 步进 AD54 步进大小 (LSB) AD542 步进大小 (LSB) /6 /8 2 /4 4 / OUTPUT CURRENT (ma) = 24V R LOAD = Ω 5 ms RAMP, SR CLOCK = x, SR STEP = x5 5ms RAMP, SR CLOCK = xa, SR STEP = x7 ms RAMP, SR CLOCK = x8, SR STEP = x TIME (ms) 图 4. 数字压摆率控制特性控制之下的输出电流压摆情况 Rev. E Page 22 of 2

23 AD54/AD542 输出电流在给定输出范围内压摆所需的时间可以用下式表示 : 压摆时间 = 输出变化 () 步进大小 更新时钟频率 LSB 大小其中 : 压摆时间 单位为秒 输出变化 单位为安培 当压摆率控制特性使能时, 所有输出变化以设定的压摆率变化 如果 CLEAR 引脚置位, 输出以设定的压摆率压摆至零电平值 对控制寄存器执行一个写操作可以使输出暂停 在其当前值 若要避免输出压摆暂停, 可以在写入 AD54/AD542 的任何寄存器之前读取压摆有效位, 检查压摆是否已完成 ( 见表 7) 任意给定值的更新时钟频率对所有输出范围都是相同的 不过, 对于给定的步进大小值, 步进大小则随输出范围而有所不同, 因为各输出范围所对应的 LSB 大小是不同的 表 2 显示了任意输出范围的满量程变化所对应的可编程压摆时间范围 表 2 中的值是根据公式 得出的 数字压摆率控制特性导致电流输出形成阶梯状, 如图 47 所示 图 47 还显示, 将电容连接到 CAP 和 CAP2 引脚可以消除该阶梯形状, 详见 I OUT 滤波电容部分 表 2. 任意输出范围的满量程变化所对应的可编程压摆时间值 ( 单位为秒 ) 步进大小 (LSB) 更新时钟频率 (Hz) , , , , , , , , , , , Rev. E Page 2 of 2

24 AD54/AD542 I OUT 滤波电容 CAP 与 及 CAP2 与 之间可以放置电容, 如图 44 所示 AD54/ AD542 CAP CAP2 C C2 OUTPUT CURRENT (ma) = 24V R LOAD = I GND OUT 图 44. I OUT 滤波电容这些电容在电流输出电路上形成一个滤波器, 如图 45 所示, 可降低带宽和输出电流的压摆率 图 46 显示这些电容对输出电流压摆率的影响 为使变化率显著降低, 需要非常大的电容, 这对某些应用可能不适合 此时应使用数字压摆率控制特性 这些电容可以配合数字压摆率控制特性使用, 从而消除数字码递增所引起的阶梯形状, 如图 47 所示 C C2 CAP CAP2 4Ω 4kΩ BOOST DAC 2.5kΩ I OUT R SET 图 45. I OUT 滤波电路 I 6.2 NO EXTERNAL CAPS nf ON CAP nf ON CAP TIME (ms) OUT 图 47. 消除数字压摆率控制特性所导致的阶梯形状 输出电流的反馈和监控为了反馈或监控输出电流值, 可以在 I OUT 输出引脚上串联一个检测电阻, 并测量该电阻上的压降 这不仅需要添加一个元件, 而且会提高所需的顺从电压 另一种替代方法是使用已有电阻 R 就是这样一个电阻, 它位于 AD54/AD542 内部, 如图 48 所示 测量出 R SENSE 与 BOOST 引脚之间的电压之后, 便可以通过下式计算输出电流值 : VR I (2) BIAS R 其中 : V R 是 R 上的压降, 在 R SENSE 与 BOOST 引脚之间测得 I BIAS 是 R 中的恒定偏置电流, 典型值为 444 μa R 是电阻 R 的电阻值, 典型值为 4 Ω R METAL R 4Ω R SENSE BOOST OUTPUT CURRENT (ma) 5 5 NO CAPACITOR nf ON CAP nf ON CAP2 47nF ON CAP 47nF ON CAP2 = 24V R LOAD = Ω TIME (ms) 图 46. CAP 和 CAP2 引脚上连接外部电容时, 压摆控制的 4 ma 至 2 ma 输出电流步进变化 I OUT I BIAS 444µA 图 48. 电流输出电路的结构 Rev. E Page 24 of 2

25 AD54/AD542 R 和 I BIAS 均具有 ±% 的容差和 ppm/ C 的温度系数 连接到 R SENSE 而非, 可避免纳入具有较大温度系数的 R 内部金属连接, 不致于引起较大误差 图 49 显示了 R 与环境温度的关系, 图 52 显示了 R 与输出电流的关系 R RESISTANCE ( ) R ( ) I OUT = 2mA R = V R /(2mA + 444µA) AMBIENT TEMPERATURE ( C) R = V R /(I OUT + 444µA) 图 49. R 电阻值与温度的关系 为了消除 R 和 I BIAS 的容差所引起的误差, 可以执行双测量校准, 如下例所示 :. 写入码 x, 测量 I OUT 和 V R 本例中, 测量结果为 : IOUT = ma VR = mv 2. 写入码 xf, 再次测量 I OUT 和 V R 本次测量结果为: IOUT = ma VR = mv 利用该信息和公式 2, 可以产生两个联立方程, 由此即可计算 R 和 I BIAS 的值 : VR IOUT = I BIAS R VR I BIAS = I R OUT 联立方程 : I BIAS = R 联立方程 2: I BIAS = R 由这两个方程式可得 : R = 4.2 Ω and I BIAS = A 因此, 公式 2 变为 : VR IOUT = µA I OUT (ma) 图 5. R 电阻值与输出电流的关系 Rev. E Page 25 of 2

26 AD54/AD542 应用信息 驱动感性负载驱动感性或难以确定的负载时, 请在 I OUT 与 GND 之间连接一个. μf 电容, 以确保能够稳定地驱动超过 5 mh 的负载 对于电容, 没有最大限值规定 负载的容性成分可能会减慢建立速度 或者, 也可以将电容连在 CAP 和 ( 或 )CAP2 与 之间, 以降低电流的压摆率 这种情况下, 数字压摆率控制特性仍可能会用到 瞬态电压保护 AD54/AD542 内置 ESD 保护二极管, 可防止器件在一般工作条件下受损 但是, 工业控制环境可能会使 I/O 电路遭受高得多的瞬态电压 为了防止过高瞬态电压影响 AD54/AD542, 可能需要外部功率二极管和浪涌电流限制电阻, 如图 5 所示 对电阻值的制约条件是 : 正常工作期间,I OUT 的输出电平必须始终在其电压限值 2.5 V 的范围以内, 并且两个保护二极管和电阻必须具有适当的额定功率值 通过瞬态电压抑制器 (TVS) 或瞬态吸收器可实现进一步的保护 它包括单向抑制器 ( 防范正高电压瞬态 ) 和双向抑制器 ( 防范正负高电压瞬态 ), 可提供各种各样的隔离和击穿电压额定值 建议将所有现场连接节点均加以保护 AD54/ AD542 I OUT R P 联电阻 (ESR) 和低有效串联电感 (ESI), 如高频时提供低阻抗接地路径的普通陶瓷型电容, 以便处理内部逻辑开关所引起的瞬态电流 AD54/AD542 的电源线路应采用尽可能宽的走线, 以提供低阻抗路径, 并减小电源线路上的毛刺噪声效应 时钟等快速开关信号应利用数字地屏蔽起来, 以免向电路板上的其它器件辐射噪声, 并且绝不应靠近基准输入 SDIN 线路与 SCLK 线路之间布设接地线路有助于降低二者之间的串扰 ( 多层电路板上不需要, 因为它有独立的接地层, 但将线路分开是有利的 ) REFIN 线路上的噪声必须降至最低, 因为这种噪声会被耦合至 DAC 输出 避免数字信号与模拟信号交叠 电路板相反两侧上的走线应彼此垂直, 这样有助于减小电路板上的馈通效应 微带线技术是目前的最佳选择, 但这种技术对于双面电路板未必总是可行 采用这种技术时, 电路板的元件侧专用于接地层, 信号走线则布设在焊接侧 电流隔离接口在许多过程控制应用中, 有必要在控制器与受控单元之间提供一个隔离栅, 以保护和隔离控制电路, 使之免受可能出现的危险共模电压影响 ADI 公司的 icoupler 系列产品可提供超过 2.5 kv 的电压隔离 AD54/AD542 的串行加载结构特别适用于隔离接口, 因为接口线数量极少 图 52 显示了利用 ADuM4 所构建的与 AD54/AD542 的 4 通道隔离接口 更多信息请访问 : GND R L CONTROLLER ADuM4* 图 5. 输出瞬态电压保护 SERIAL CLOCK OUT V IA ENCODE DECODE V OA TO SCLK 布局指南在任何注重精度的电路中, 精心考虑电源和接地回路布局 SERIAL DATA OUT V IB ENCODE DECODE V OB TO SDIN 有助于确保达到规定的性能 AD54/AD542 所在的印刷电路板 (PCB) 在设计时应将模拟部分与数字部分分离, 并 SYNC OUT V IC ENCODE DECODE V OC TO LATCH 限制在电路板的特定区域内 如果 AD54/AD542 所在系统中有多个器件要求 AGND 至 DGND 连接, 则只能在一个点上进行连接 星形接地点应尽可能靠近器件 CONTROL OUT V ID ENCODE *ADDITIONAL PINS OMITTED FOR CLARITY. DECODE V OD TO CLEAR AD54/AD542 的每个电源上应有足够大的旁路电容 µf, 图 52. 隔离接口 与. µf 电容并联, 并且尽可能靠近封装, 最好是正对着该 器件 μf 电容为钽珠型电容. µf 电容应具有低有效串 Rev. E Page 26 of 2

27 AD54/AD542 微处理器接口 AD54/AD542 通过一条串行总线实现与微处理器的接口, 这条总线使用与微控制器和 DSP 处理器兼容的协议 通信通道为一个 线 ( 最少 ) 式接口, 由时钟信号 数据信号和锁存信号组成 AD54/AD542 要求 24 位数据字, 数据在 SCLK 上升沿有效 对于所有接口,DAC 输出更新都是在 LATCH 上升沿启动的 利用回读功能可以读取寄存器的内容 散热和电源考量 AD54/AD542 设计的最大工作结温为 25 C, 必须确保器件不在可能引起结温超过此值的条件下工作 如果 AD54/AD542 采用最大 工作, 同时将最大电流 (24 ma) 直接驱动到地, 则可能使结温超过此值 这种情况下, 应当控制环境温度或者降低 在最大环境温度 85 C 下,24 引脚 TSSOP 的功耗可达.4 W, 4 引脚 LFCSP 的功耗可达.2 W 将最大电流 24 ma 直接驱动到地时 ( 同时增加 4 ma 的片内电流 ), 为了确保结温不超过 25 C, 必须将 从最大额定值降低, 确保封装需要消耗的功率不超过上述值的功率 ( 见表 2 图 5 和图 54) POWER DISSIPATION (W) SUPPLY VOLTAGE (V) LFCSP TSSOP AMBIENT TEMPERATURE ( C) 图 5. 最大功耗与环境温度的关系 LFCSP TSSOP AMBIENT TEMPERATURE ( C) 图 54. 最大电源电压与环境温度的关系 表 2. 散热和电源考虑要点 TSSOP LFCSP 在环境温度 85 C 下工作的 T J max T A T J max T A 最大容许功耗 = =.4 W = =.2 W Θ JA 5 Θ JA 采用 4 V/6 V 电源供电并将 24 ma 直接驱动到地时的最大容许环境温度 J max P D Θ JA = 25 ( 4.28 ) 5 = 86 C T J max P D Θ JA = = 7 在环境温度 85 C 下工作并 将 24 ma 直接驱动到地时 T J max T A T J max T A = = 4 V = 的最大容许电源电压 = 4 V AI Θ.28 5 AI Θ.28 T ( ) C DD JA DD JA Rev. E Page 27 of 2

28 AD54/AD542 工业模拟输出应用许多工业控制应用要求对电流输出信号进行精确控制, AD54/AD542 非常适合此类应用 图 55 显示了专门用于工业控制应用的由 AD54/AD542 组成的输出模块电路设计 该设计提供电流输出 该设计提供一路支持 HART 的电流输出,HART 功能由业界功耗最低 尺寸最小的 HART 兼容 IC 调制解调器 AD57/AD57- 实现 AD57- 内置一个.5% 精度的振荡器, 可以进一步节省空间 从 AD57 输出的 HART_OUT 信号经过衰减后, 交流耦合至 AD542 的 CAP2 引脚 有关此配置的更多详情请参阅应用笔记 AN-65 另有一种 HART 信号与 RSET 引脚的耦合方式 ( 仅在使用外部 RSET 时可用 ), 具体请参阅电路笔记 CN-27 无论使用何种配置,AD57 HART 调制解调器输出都能调制 4 ma 至 2 ma 模拟电流, 而不会影响该电流的直流电平 此电路符合 HART 通信基金会定义的 HART 物理层规范 输出模块采用 24 V 现场电源供电 该电源直接为 供电 I OUT 和现场电源连接上均配有瞬态电压抑制器 (TVS), 以提 供瞬态过压保护 I OUT 连接上配有一个 24 V TVS, 现场电源输入端则配有一个 6 V TVS 为提供进一步保护,I OUT 引脚与 和 GND 电源引脚之间连接有钳位二极管 AD57 HART 调制解调器建议采用包含 5 kω 电阻的外部带通滤波器, 这样可以将电流限制在足够低水平, 以满足本质安全要求 这种情况下, 输入端具有更高的瞬态电压保护功能, 因此即使是在要求最苛刻的工业环境中, 也无需额外的保护电路 AD54/AD542 与背板电路之间的隔离由 ADuM4 和 ADuM2 icoupler 数字隔离器提供 ; 关于 icoupler 产品的更多信息, 请访问 : AD54/ AD542 内部产生的数字电源为数字隔离器的现场端供电, 因此无需在隔离端现场产生数字电源 AD54/AD542 的数字电源输出提供最大 5 ma 的电流, 而 ADuM4 和 ADuM2 只需 2.8 ma 电流, 因此足以满足其需求 ;ADuM4 和 ADuM2 在最高 MHz 的逻辑信号频率下工作 为了减少所需隔离器的数量,CLEAR 等非关键信号可以连到 GND 和 FAULT,SDO 可以不连接, 从而只需要隔离三个信号 不过, 这会禁用器件的故障提醒特性 BACKPLANE SUPPLY + µf.µf 24V FIELD SUPPLY SMAJ6CA 6V FIELD GROUND BACKPLANE INTERFACE.µF MICROCONTROLLER UART INTERFACE DIGITAL OUTPUTS DIGITAL INTPUTS ADuM4 V DD V DD2 NC V E2 V IA V OA V IB V OB V IC V OC V ID V OD GND GND 2 GND GND 2 V DD2 V DD V OA V IA V OB V IB GND 2 GND ADuM2.µF k DV CC SELECT CLEAR LATCH SCLK SDIN FAULT SDO CAP2 DV CC C CAP AD54/AD542 I OUT GND REFOUT REFIN 8Ω 24V SMAJ24CA I OUT.µF C 2.2nF C2 22nF.µF ADuM42 V DD V DD2 V E V E2 V IA V OA V IB V OB V OC V IC V OC V ID GND GND 2 GND GND 2 V CC HART_OUT TXD RTS RXD CD AD57/AD57- REF AGND DGND ADC_IP.2MΩ pf.2mω µf 5pF 5kΩ 图 55. AD54/AD542 用于工业模拟输出应用 Rev. E Page 28 of 2

29 AD54/AD542 外形尺寸 BSC EXPOSED PAD (Pins Up) 订购指南.2 MAX.5.5 SEATING PLANE. COPLANARITY PIN INDICATOR SEATING PLANE TOP VIEW.65 BSC BOTTOM VIEW COMPLIANT TO JEDEC STANDARDS MO-5-ADT 图 引脚超薄紧缩小型封装 裸露焊盘 [TSSOP_EP] (RE-24) 尺寸单位 :mm SQ 5.9 TOP VIEW 2 MAX.8 MAX.65 TYP SQ MAX.5 BSC MAX.2 NOM COPLANARITY.8.2 REF.6 MAX REF COMPLIANT TO JEDEC STANDARDS MO-22-VJJD-2 图 引脚 LFCSP_VQ 封装, 6 mm x 6 mm 超薄 (CP-4-), 尺寸单位 :mm EXPOSED PAD (BOTTOM VIEW) 4 FOR PROPER CONNECTION OF THE EXPOSED PAD, REFER TO THE PIN CONFIGURATION AND FUNCTION DESCRIPTIONS SECTION OF THIS DATA SHEET. PIN INDICATOR SQ.95.2 MIN FOR PROPER CONNECTION OF THE EXPOSED PAD, REFER TO THE PIN CONFIGURATION AND FUNCTION DESCRIPTIONS SECTION OF THIS DATA SHEET. 型号 温度范围 分辨率 总非调整误差 (TUE) 封装描述 封装选项 AD54AREZ 4 C 至 +85 C 2 位 最大值.% 24 引脚 TSSOP_EP RE-24 AD54AREZ-REEL7 4 C 至 +85 C 2 位 最大值.% 24 引脚 TSSOP_EP RE-24 AD54ACPZ-REEL 4 C 至 +85 C 2 位 最大值.% 4 引脚 LFCSP_VQ CP-4- AD54ACPZ-REEL7 4 C 至 +85 C 2 位 最大值.% 4 引脚 LFCSP_VQ CP-4- AD542AREZ 4 C 至 +85 C 6 位 最大值.5% 24 引脚 TSSOP_EP RE-24 AD542AREZ-REEL7 4 C 至 +85 C 6 位 最大值.5% 24 引脚 TSSOP_EP RE-24 AD542ACPZ-REEL 4 C 至 +85 C 6 位 最大值.5% 4 引脚 LFCSP_VQ CP-4- AD542ACPZ-REEL7 4 C 至 +85 C 6 位 最大值.5% 4 引脚 LFCSP_VQ CP-4- EVAL-AD542EBZ 评估板 Z = 符合 RoHS 标准的兼容器件 D 678-A Rev. E Page 29 of 2

30 AD54/AD542 注释 Rev. E Page of 2

31 AD54/AD542 注释 Rev. E Page of 2

32 AD54/AD542 注释 29 2 Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. D727sc--/(E) Rev. E Page 2 of 2

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

目录 特性...1 应用...1 功能框图...1 概述...1 修订历史...2 技术规格...3 时序特性...5 绝对最大额定值...7 ESD 警告...7 引脚配置和功能描述...8 典型工作特性...1 术语...15 工作原理...16 软件模式...16 电流输出架构...18 驱动

目录 特性...1 应用...1 功能框图...1 概述...1 修订历史...2 技术规格...3 时序特性...5 绝对最大额定值...7 ESD 警告...7 引脚配置和功能描述...8 典型工作特性...1 术语...15 工作原理...16 软件模式...16 电流输出架构...18 驱动 主要特性电流输出范围 : ma 至 24 ma 或 4 ma 至 2 ma 总不可调整误差 (TUE):±.3% FSR( 典型值 ) 输出温漂 :±5 ppm/ C( 典型值 ) 超量程 :2% 灵活的串行数字接口片内输出故障检测 PEC 差错校验异步 CLEAR( 清零 ) 功能电源电压范围 AVDD:12 V(± 1%) 至 55 V( 最大值 ) 输出环路顺从电压 :AV DD 2.75 V

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

AD542 目录 特性... 应用... 概述... 配套低功耗产品... 功能框图... 修订历史... 技术规格... 4 交流工作特性... 9 时序特性... 9 绝对最大额定值... 热阻... ESD 警告... 引脚配置和功能描述... 2 典型性能参数... 4 术语... 2 工作

AD542 目录 特性... 应用... 概述... 配套低功耗产品... 功能框图... 修订历史... 技术规格... 4 交流工作特性... 9 时序特性... 9 绝对最大额定值... 热阻... ESD 警告... 引脚配置和功能描述... 2 典型性能参数... 4 术语... 2 工作 6 位 串行输入 环路供电 4 ma 至 2 ma DAC AD542 产品特性 6 位分辨率和单调性引脚可选的 NAMUR 兼容范围 4 ma 至 2 ma.8 ma 至 2 ma.2 ma 至 24 ma NAMUR 兼容报警电流下限报警电流 =.2 ma 上限报警电流 = 22.8 ma/24 ma 总不可调整误差 (TUE):.5%( 最大值 ) 积分非线性 (INL) 误差 :.5% FSR(

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

目录 特性... 应用... 概述... 修订历史... 2 功能框图... 3 规格... 4 交流工作特性... 6 时序特性... 6 时序图... 7 绝对最大额定值... 9 ESD 警告... 9 引脚配置和功能描述... 典型工作特性... 术语...8 工作原理...2 架构...2

目录 特性... 应用... 概述... 修订历史... 2 功能框图... 3 规格... 4 交流工作特性... 6 时序特性... 6 时序图... 7 绝对最大额定值... 9 ESD 警告... 9 引脚配置和功能描述... 典型工作特性... 术语...8 工作原理...2 架构...2 完整的四通道 2/4/6 位 串行输入 单极性 / 双极性 电压输出 DAC AD5724R/AD5734R/AD5754R 特性完整的四通道 2/4/6 位 DAC 采用单电源 / 双电源供电软件可编程输出范围 +5 V + V +.8 V ±5 V ± V ±.8 V 积分非线性 (INL) 误差 :±6 LSB( 最大值 ); 微分非线性 (DNL) 误差 :± LSB( 最大值 ) 总不可调整误差

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

MC33035 MC33035 MC33035 MOSFET MC33035 MC º/300º 120º/240º MOSFET 60º/300º 120º/240º MOSFET MC33035M C SOP24 MC33035P -40

MC33035 MC33035 MC33035 MOSFET MC33035 MC º/300º 120º/240º MOSFET 60º/300º 120º/240º MOSFET MC33035M C SOP24 MC33035P -40 MOSFET 60º/300º 120º/240º 10 30 6.25 3 MOSFET 60º/300º 120º/240º MOSFET M -40 +85 C SOP24 P -40 +85 C DIP24!" #$% : 5 10 1-702, :210037 (TEL.): (86)-25-8562 8245 8562 8265 (FAX): (86)-25-8562 8352 1 /

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典 特 性 低 功 耗 每 个 放 大 器 的 电 源 电 流 :.3 ma 高 速 -3 db 带 宽 :5 MHz (G = +) 压 摆 率 :6 V/μs.% 建 立 时 间 :8 ns 轨 到 轨 输 入 和 输 出 输 入 超 过 供 电 轨 mv 不 会 反 相 宽 电 源 电 压 范 围 :.7V 至 V 失 调 电 压 :6 mv( 最 大 值 ) 低 输 入 偏 置 电 流 +.7

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

Comp-AC ACS to 2.2 kw

Comp-AC ACS to 2.2 kw Comp-AC ACS100 0.12 to 2.2 kw ACS 100 3BFE 64307622 R0125 3ABD00008839 C 2002 11 20 2000 ABB Industry Oy ACS 100 5 (U c+, U c- ) G! (U1, V1, W1 U2, V2, W2 U c+, U c- )! ACS400 ( RO1, RO2, RO3)! ACS100!

More information

Slide 1

Slide 1 egan FET 昂首阔步前进 采用氮化镓场效应晶体管 (egan FET) 的 无线电源传送解决方案 宜普电源转换公司 www.epc-co.com.cn 1 议题 无线电源拓扑概述 每种拓扑所取得的无线电源结果 总结 www.epc-co.com.cn 2 概述 输出功率 < 30 W 松散耦合 根据 A4WP 标准的 6.78 MHz(ISM 频带 ) 探讨不同的拓扑 : D 类放大器 ( 电流及电压模式

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

目录 产品特性... 1 应用... 1 概述... 1 产品特色... 1 配套产品... 1 功能框图... 1 修订历史... 3 详细功能框图... 4 技术规格... 5 交流工作特性... 8 时序特性... 9 绝对最大额定值... 1 ESD 警告... 1 引脚配置和功能描述...

目录 产品特性... 1 应用... 1 概述... 1 产品特色... 1 配套产品... 1 功能框图... 1 修订历史... 3 详细功能框图... 4 技术规格... 5 交流工作特性... 8 时序特性... 9 绝对最大额定值... 1 ESD 警告... 1 引脚配置和功能描述... 产品特性 16 位分辨率和单调性用于热管理的动态电源控制电流和电压输出引脚可连接到一个引脚电流输出范围 : ma 至 ma 4 ma 至 ma 或 ma 至 4 ma 总不可调整误差 (TUE):±.5%( 最大值 ) 电压输出范围 ( 含 % 超量程 ): V 至 5 V V 至 1 V ±5 V 和 ±1 V 总不可调整误差 (TUE):±.4%( 最大值 ) 用户可编程失调与增益片内诊断片内基准电压源

More information

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔 高隔离度 硅 SPDT 非反射开关,9 khz 至 3. GHz HMC8 产品特性非反射式 5 Ω 设计正控制电压 : V/3.3 V 低插入损耗 :.68 db (8. GHz) 高隔离度 :8 db (8. GHz) 高功率处理 35 dbm( 通过路径 ) 7 dbm( 端接路径 ) 高线性度 db 压缩 (PdB):37 dbm( 典型值 ) 输入三阶交调截点 (IIP3):6 dbm(

More information

MAX3205Erev1.DS.C

MAX3205Erev1.DS.C 9-336; Rev ; 0/04 ±5kV ESD, (TVS), ESD HDTV PC ( DVI TM HDMI TM ) PC (FireWire USB 2.0) (PCI Express TM,InfiniBand ), ESD, ESD IEC 6000-4-2 ±5kV ±8kV ±5kV ESD TVS ESD, 2pF, 0.05pF, MAX3207 E, USB. USB

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

AD8 目录 目录... 2 采用 ±5 V 电源时的技术规格... 3 采用 +5 V 电源时的技术规格... 4 绝对最大额定值... 5 热阻... 5 ESD 警告... 5 典型性能参数... 6 测试电路 应用 电路配置 视频线路驱动器 低

AD8 目录 目录... 2 采用 ±5 V 电源时的技术规格... 3 采用 +5 V 电源时的技术规格... 4 绝对最大额定值... 5 热阻... 5 ESD 警告... 5 典型性能参数... 6 测试电路 应用 电路配置 视频线路驱动器 低 1.5 GHz 超高速运算放大器 AD8 产品特性高速 3 db 带宽 :1.5 GHz (G = +1) 65 MHz 全功率带宽 (,V O = 2 V p-p) 压摆率 :4,1 V/μs.1% 建立时间 :12 ns 出色的视频特性.1 db 平坦度 :17 MHz 差分增益 :.2% 差分相位 :.1 输出过驱恢复 :22 ns 低噪声 : 输入电压噪声 :1.6 nv/ Hz 宽带宽范围内低失真无杂散动态范围

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

MD71XX 系列高耐压低压差型

MD71XX 系列高耐压低压差型 高速低压差 (LDO) CMOS 电压稳压电路 ( 带控制端 ) MD73RXX 系列 300mA 特性 : 输出电压精度高 精度 ±2% 输出电流 300mA MD73RXX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流的正电压型电压稳压 电路 由于内置有低通态电阻晶体管, 因而输入输出 压差低 同时具有高输入电压承受能力, 最高工作电 压可达 1V, 适合需要较高耐压的应用电路

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

MD71XX 系列高耐压低压差型

MD71XX 系列高耐压低压差型 高速低压差 (LDO) CMOS 电压稳压电路 MD7UXX 系列 120mA MD7UXX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流的正电压型电压稳压电路 由于内置有低通态电阻晶体管, 因而输入输出压差低 同时具有高输入电压承受能力, 最高工作电压可达 1V, 适合需要较高耐压的应用电路 特性 : 输出电压精度高 精度 ±3% 输入输出压差低 mv 超低功耗电流 1uA

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

Microsoft Word - JC53XX doc

Microsoft Word - JC53XX doc 低压差微功耗型 LDO CMOS 电压稳压电路 JC53XX 系列 500mA JC53XX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流, 正电压型电压稳压电路 由于内置有低通态电阻晶体管, 因而输入输出压差低 最高工作电压可达 10V, 适合需要较高耐压的应用电路 特性 : 输出电压精度高 精度 ±2% 输入输出压差低 15mV Iout=1mA 超低功耗电流 ⒈2uA

More information

MD71XX 系列高耐压低压差型

MD71XX 系列高耐压低压差型 耐压低压差微功耗型 CMOS 电压稳压电路 MD7XX 系列 120mA MD7XX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流的正电压型电压稳压电路 由于内置有低通态电阻晶体管, 因而输入输出压差低 同时具有高输入电压承受能力, 最高工作电压可达 1V, 适合需要较高耐压的应用电路 特性 : 输出电压精度高 精度 ±3% 输入输出压差低 mv 超低功耗电流 ⒈2uA

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

甄試報告1125.PDF

甄試報告1125.PDF LabVIEW LabVIEW Laboratory Virtual Instrument Engineering Workbench G LabVIEW DAQ LabVIEW LabVIEW LabVIEW LabVIEW ph LabVIEW DAQ LabVIEW PZT LabVIEW / =2 10-8 1 LabVIEW DAQ LabVIEW DAQ DAQ LabVIEW DAQ

More information

Table of Contents Power Film Capacitors Power Film Capacitors Series Table Product Type Series Voltage Capacitance() Page DC-Link Power Film Capacitors Power Film Capacitors Power Film Capacitors Power

More information

技术文档模板

技术文档模板 编写 CAC(P) 时间 2013/07/07 版本 V2.0 声明 Analog Devices 公司拥有本文档及本文档中描述内容的完整知识产权 (IP) Analog Devices 公司有权在不通知读者的情况下更改本文档中的任何描述 如果读者需要任何技术帮助, 请通过 china.support@analog.com 或免费热线电话 4006-100-006 联系亚洲技术支持中心团队 其他技术支持资料以及相关活动请访问以下技术支持中心网页

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

目录 特性... 应用... 功能框图... 概述... 产品聚焦... 修订历史... 技术规格... 5 V 电气特性.... V 电气特性 V 时序规格 V 时序规格... 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 术语... 0

目录 特性... 应用... 功能框图... 概述... 产品聚焦... 修订历史... 技术规格... 5 V 电气特性.... V 电气特性 V 时序规格 V 时序规格... 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 术语... 0 CMOS 0 MHz 三通道 8 位高速视频 DAC ADV75 特性吞吐量 :0 MSPS 三个 8 位 DAC RS-4A/RS-70 兼容输出互补输出 DAC 输出电流范围 :.0 ma 至.5 ma TTL 兼容输入.5 V 内部基准电压源 +5 V/+. V 单电源供电 48 引脚 LQFP 和 LFCSP 封装低功耗 :0 mw( 最小值, V) 低功耗 ( 待机模式 ): mw( 典型值,

More information

诚芯微科技

诚芯微科技 概述 特点 是一款输入耐压可达 36V, 并且能够实现精确恒压以及恒流的降压型 DC-DC 转换器 内置 50mΩ High-side PMOS 以及 30mΩ Low-side NMOS, 可支持 3A 持续输出电流输出电压可调, 最大可支持 100% 占空比 具备高性能的负载响应以及输入电压响应能力, 同时精确的恒压和恒流控制环路实现极小的负载调整率和线性调整率 无需外部补偿, 可以依靠自身内置稳定环路实现恒流以及恒压控制,

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 9 章 温度检测功能 1. 内容 9-2 2. 功能 9-2 3. 温度二极管电压的特性 9-2 4. 使用 ADI-ADuM4138 时的温度检测功能 9-3 5. 使用 ADI-ADuM4138 时的温度检测补偿方法 9-3 Co., Ltd. All rights reserved. 9-1 V F (V) V F (V) 1. 内容 在此对温度二极管功能进行说明 同时对实际使用 ADI-ADuM4138

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

256 位 SPI 兼容型数字电位计 AD5160 产品特性 256 位 功能框图 V DD 端到端电阻 :5 kω 10 kω 50 kω 100 kω 紧凑型 SOT-23-8 (2.9 mm x 3 mm) 封装 SPI 兼容型接口上电预设为中间电平 CS SDI CLK SPI INTERF

256 位 SPI 兼容型数字电位计 AD5160 产品特性 256 位 功能框图 V DD 端到端电阻 :5 kω 10 kω 50 kω 100 kω 紧凑型 SOT-23-8 (2.9 mm x 3 mm) 封装 SPI 兼容型接口上电预设为中间电平 CS SDI CLK SPI INTERF 56 位 SPI 兼容型数字电位计 产品特性 56 位 功能框图 端到端电阻 :5 kω kω 5 kω kω 紧凑型 SOT-3-8 (.9 mm x 3 mm) 封装 SPI 兼容型接口上电预设为中间电平 CS SDI CLK SPI INTERFCE 单电源 :.7 V 至 5.5 V 低温度系数 :45 ppm/ C IPER REGISTER 低功耗 :I DD = 8 µ 宽工作温度范围

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

untitled

untitled ( OH ) Cd ( OH ) NiOOH + Cd + H O Ni + ( OH ) + Cd ( OH ) NiOOH + Cd O Ni + H O H O 1/48 H ( ) M NiOOH + MH Ni OH + ( OH ) + M NiOOH MH Ni + /48 3/48 4/48 4 6 8 5.6KΩ±1% 1/ 4W L N C7 1nF/50V F1 T.5A/50V

More information

untitled

untitled FF PID FF Profibus I/O FF EPC DCS FF D PFD/P&ID H1 / EPC FF FF C A B D A C B FF FF FF FF DCS FF FF H1 FAT EPC DCS /FF 11 FAT DCS/FF FAT SAT EPC FF DCS DCS DCS FF FF / / DCS / CPU / / I/O FF EPC FF DCS

More information

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 工作电压为 3.3V 5V, 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流 ; 且单颗 IC 片内输出通道的电流差异小于 ±3%;

More information

60C-6-20160802091614

60C-6-20160802091614 推 薦 序 防 災 是 全 球 未 來 的 必 須 面 對 的 首 要 工 作, 台 灣 值 在 這 方 面 進 步 非 常 快, 可 說 在 世 界 上 居 於 領 先 國 家 之 一, 其 中 有 一 項 技 術, 就 是 防 災 的 監 測 我 們 的 團 隊, 利 用 國 科 會 計 畫, 在 民 國 89 年 研 發 出 台 灣 第 一 座 土 石 流 監 測 與 預 報 系 統, 當 初

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information