DS0005E_EMW3162

Size: px
Start display at page:

Download "DS0005E_EMW3162"

Transcription

1 产品手册 EMB1066 嵌入式蓝牙模块 版本 :1.0 日期 : 编号 :DFB0001BT 概要 特性 模块型号 包含一个超低功耗蓝牙芯片 微处理器主频 48MHz 16KB SRAM 512KB 片内 Flash 电压 :3.0V~3.6V Bluetooth 相关特性 支持蓝牙 4.0BLE 射频数据速率高达 2Mbps TX 功率 :+8dBm RX 接收灵敏度 : -92 dbm 支持 BLE 从模式 支持广播 数据加密 蓝牙连接更新 内嵌硬件 AES 加密 板载 PCB 天线或者外接天线 MXCHIP PN 天线类型 说明 EMB1066-P PCB 天线 默认 EMB1066-E 外部天线 可选 硬件框图 工作环境温度 :-40 to +85 应用 智能 LED 智能家居可穿戴医疗保健个人护理工业自动化手持设备 版权声明未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号和图形

2 目录 产品简介... 1 模块接口 电气参数 射频参数 天线信息 总装信息及生产指导 参考电路 V UART- 3.3V UART 转换参考电路 销售与技术支持信息 版本更新说明 图目录 图 1. EMB1066 硬件框图... 1 图 2. EMB1066 引脚排列示意图... 2 图 3. 推荐邮票口封装尺寸示意图... 3 图 4. EWB1066-P... 8 图 5. EMB1066-E... 8 图 6. PCB 天线最小净空区...9 图 7. 外接天线连接器尺寸图 图 8. EMB1066 尺寸图 单位 mm... 11

3 图 9. 存储条件示意图 图 10. 参考回流曲线 图 11. 电源参考电路 图 12.USB 转串口参考电路 图 13.EMB1066 外部接口参考设计 图 V UART- 5V UART 转换电路 表目录 表 1. EMB1066 引脚定义... 3 表 2. 输入电压范围... 5 表 3. 电压绝对最大额定值... 5 表 4. EMB1066 功耗参数... 5 表 5. 温湿度条件... 6 表 6. 静电释放参数... 6 表 7. 射频标准... 7 表 8. GFSK 模式参数... 7 表 9. GFSK 模式发送特性参数... 7 表 10.GFSK 模式接收特性参数... 7

4 1. 产品简介 EMB1066 是由上海庆科信息技术有限公司开发的一款低功耗嵌入式蓝牙模块 它集成了一颗 32 位的 MCU,BLE/2.4G Radio 模块,16KB SRAM, 内部集成了 512KB 的 Flash,6-channel PWM 以及丰富的外设资源 可提供邮票口封装接口设计方案 EMB1066 支持 MiCO 操作系统 (MiCO 是由上海庆科开发的 IoT 物联网实时操作系统 ), 目前, 上海庆科已经基于 MiCO 完成了众多定制固件的开发, 以满足相应的应用需求, 比如支持 WIFI 模块快速接入阿里云平台, 微信平台等 下图是 EMB1066 模块的硬件框图, 主要包括三大部分 : 32 位的 MCU 部分 蓝牙 2.4G 射频部分 电源管理部分 其中 : (1)MCU 部分提供 :USART,I2C,ADC,Timer/PWM,512KB 片内 Flash 和 16KB SRAM, 及最高达 48MHz 的 CPU 主频 (2) 蓝牙射频部分提供 :PCB 天线和外部天线 (3) 电源管理部分 :DC3.3V 的输入 图 1. EMB1066 硬件框图 1

5 2. 模块接口 2.1. 引脚排列 EMB1066 有两排分别为 7pin 引脚和一排 8pin 引脚, 共 22pin, 引脚间距为 2.0mm EMB1066 采用邮票孔封装接口设计 ( 如图 2 所示 ) 方案, 邮票孔封装设计 ( 如图 3 所示 ) 有效减少二次 贴片的质量风险 阻焊开窗和焊盘大小一致,SMT 建议钢网厚度 0.12mm-0.14mm 图 2. EMB1066 排针管脚示意图 2

6 图 3. 推荐邮票口封装尺寸示意图 ( 单位 mm) 2.2. 引脚定义 表 1. EMB1066 引脚定义 引脚号 名称 类型 功能 1 SWDIO I/O 程序专用烧录口 RESET I/O 复位 4 UART_RTS I/O USER_UART_RTS (SPI CHIP SELECT) 5 UART_CTS I/O USER_UART_CTS (SPI DATA OUT) 6 I2C1_SCL I/O I2C1_SCL (SPI CLOCK) 7 I2C1_SDA I/O I2C1_SDA (SPI DATA INPUT) 8 VCC3V3 S POWER_SUPPLY 9 GND S GND 10 TL_UTX I/O USER_UART_RX 11 TL_URX I/O USER_UART_RX 3

7 引脚号 名称 类型 功能 12 PWM3_OUT I/O PWM3 13 PWM4_OUT I/O PWM4 14 PWM5_OUT I/O PWM5 15 PWM0_OUT I/O PMW0 16 PWM1_OUT I/O PWM1 17 PWM1_OUTI I/O PWM1I 18 PWM2_OUT I/O PWM2 19 GND S GND 20 GPIO10 I/O GPIO 21 GPIO11 I/O GPIO 22 GPIO16 I/O GPIO S 表示电源引脚,I/O 表示 GPIO 引脚 ; 17 管脚为 PWM1 的反向信号输出脚 ; 加粗斜体表示该引脚的标准功能定义 ; () 内为可配置的第二功能引脚 4

8 3. 电气参数 3.1. 工作条件 EMB1066 在输入电压低于最低额定电压下会造成工作不稳定 电源设计时需要注意这点 表 2. 输入电压范围 符号说明条件 详细 最小值典型值最大值单位 VDD 电源电压 V 模块在超出绝对最大额定值工作会给硬件造成永久性伤害 同时, 长时间在最大额定值下工作会影响模块的可靠性 表 3. 电压绝对最大额定值 符号说明最小值典型值单位 VDD 模块电源输入电压 V VIN GPIO 引脚输入电压 V 3.2. 功耗参数 表 4. EMB1066 功耗参数 符号参数条件 最小值平均值最大值 TA=25 C TA=25 C TA=25 C 单位 蓝牙数据发送 ma I Module EMB1066 模 块总功耗 蓝牙数据接收 ma 深度睡眠模式 ua 待机模式 ua 数据透传 低功耗模式 连接状态 ua 说明 : 该测试数据在不同的固件版本下可能会不同 5

9 3.3. 工作环境 表 5. 温湿度条件 3.4. 静电放电 符号 名称 最大 单位 TSTG 存储温度 -65 to +150 TA 工作温度 -40 to +85 Humidity 非冷凝, 相对湿度 95 % 表 6. 静电释放参数符号名称名称等级最大单位 VESD(HBM) VESD(CDM) 静电释放电压 ( 人体模型 ) 静电释放电压 ( 放电设备模型 ) TA= +25 C 遵守 JESD22-A114 TA = +25 C 遵守 JESD22-C II 500 V 6

10 4. 射频参数 4.1. 基本射频参数 表 7. 射频标准 项目 说明 工作频率 无线标准 调制类型 2.4GHz ISM band Bluetooth4.0 FSK/GFSK 数据传输速率 天线类型 250Kbps-2Mbps PCB 印刷天线 U.F.L 连接器接到外部天线 ( 可选 ) 4.2.FSK/GFSK 模式相关参数 表 8. FSK/GFSK 模式参数 项目 说明 调制类型频率范围通道数据传输速率 FSK/GFSK 2.400GHz GHz ISM band 3 个广播信道,37 个数据传输信道, 信道间隔 2MHz (2402+n*2MHz,n=0,,39) 250Kbps-2Mbps 表 9. FSK/GFSK 模式接收特性参数 发送特性 最小值 平均值 最大值 单位 灵敏度 dbm 频率偏移误差 KHz 同信道干扰抑制 -7 db 表 10. GFSK 模式发送特性参数 接收特性最小值平均值最大值单位 输出功率 8 dbm 20dB 占用带宽 1000 KHz 7

11 5. 天线信息 5.1. 天线类型 EMB1066 有 PCB 天线和外接天线两种规格, 型号为 EMB1066-P 和 EMB1066-E 图 4. EWB1066-P 图 5. EMB1066-E 8

12 5.2.PCB 天线净空区 在蓝牙模块上使用 PCB 天线时, 需要确保主板 PCB 和其它金属器件距离至少 15mm 以上 下图中阴影部 分标示区域需要远离金属器件 传感器 干扰源以及其它可能造成信号干扰的材料 图 6. PCB 天线最小净空区 9

13 5.3. 外接天线连接器 图 7. 外接天线连接器尺寸图 10

14 6. 总装信息及生产指导 6.1. 总装尺寸图 图 8. EMB1066 尺寸图 ( 单位 :mm) 注 :PCB 板厚 1.0mm 6.2. 生产指南 ( 请务必要仔细阅读 ) 庆科出厂的邮票口封装模块必须由 SMT 机器贴片, 并且贴片前要对模块进行烘烤 SMT 贴片需要仪器 : (1) 回流焊贴片机 11

15 (2)AOI 检测仪 (3) 口径 6-8mm 吸嘴 烘烤需要设备 : (1) 柜式烘烤箱 (2) 防静电 耐高温托盘 (3) 防静电耐高温手套 庆科出厂的模块存储条件如下 ( 存储环境如 6.4 节图 9 所示 ): 防潮袋必须储存在温度 <30 C, 湿度 <85%RH 的环境中 干燥包装的产品, 其保质期应该是从包装密封之日起 6 个月的时间 密封包装内装有湿度指示卡 庆科出厂模块需要烘烤, 湿度指示卡及烘烤的几种情况如下所述 : 拆封时如果温湿度指示卡读值 30% 40% 50% 色环均为蓝色, 需要对模块进行持续烘烤 2 小时 ; 拆封时如果湿度指示卡读取到 30% 色环变为粉色, 需要对模块进行持续烘烤 4 小时 ; 拆封时如果湿度指示卡读取到 30% 40% 色环变为粉色, 需要对模块进行持续烘烤 6 小时 ; 拆封时如果湿度指示卡读取到 30% 40% 50% 色环均变为粉色, 需要对模块进行持续烘烤 12 小时 烘烤参数如下 : 烘烤温度 :125 ±5 ; 报警温度设定为 130 ; 自然条件下冷却 <36 后, 即可以进行 SMT 贴片 ; 干燥次数 :1 次 ; 如果烘烤后超过 12 小时没有焊接, 请再次进行烘烤 ; 如果拆封时间超过 3 个月, 请禁止使用 SMT 工艺焊接此批次模块, 因为 PCB 沉金工艺, 超过 3 个 月焊盘氧化严重,SMT 贴片时极有可能导致虚焊 漏焊, 由此带来的种种问题我司不承担相应责任 SMT 贴片前请对模块进行 ESD( 静电放电, 静电释放 ) 保护 ; 请根据回流焊曲线图进行 SMT 贴片, 峰值温度 245, 回流焊温度曲线如 6.5 节图 11 所示 为了确保回流焊合格率, 首次贴片请抽取 10% 产品进行目测 AOI 检测, 以确保炉温控制 器件吸 附方式 摆放方式的合理性 ; 之后的批量生产建议每小时抽取 5-10 片进行目测 AOI 测试 ; 12

16 6.3. 注意事项 在生产全程中各工位的操作人员必须戴静电手套 ; 烘烤时不能超过烘烤时间 ; 烘烤时严禁加入爆炸性 可燃性 腐蚀性物质 ; 烘烤时, 模块应用高温托盘放入烤箱中, 保持每片模块之间空气流通, 同时避免模块与烤箱内壁直接 接触 ; 烘烤时请将烘烤箱门关好, 保证烘烤箱封闭, 防止温度外泄, 影响烘烤效果 ; 烘烤箱运行时尽量不要打开箱门, 若必须打开, 尽量缩短可开门时间 ; 烘烤完毕后, 需待模块自然冷却至 <36 后, 方可戴静电手套拿出, 以免烫伤 ; 操作时, 严防模块底面沾水或者污物 ; 庆科出厂模块温湿度管控等級为 Level3, 存储和烘烤条件依据 IPC/JEDEC J-STD 存储条件 13

17 图 9. 存储条件示意图 6.5. 二次回流温度曲线 建议使用焊锡膏型号 :SAC305, 无铅 回流次数不超过 2 次 图 10. 参考回流温度曲线 14

18 15

19 7. 参考电路 EMB1066 用户参考电路如下图 11 电源参考电路 图 12 USB 转串口参考电路 图 13 外部接口参考设计 所示供用户参考 图 11. 电源参考电路 图 12.USB 转串口参考电路 16

20 图 13.EMB1066 外部接口参考设计 17

21 8. 5V UART- 3.3V UART 转换参考电路 EMB1066 UART 为 3.3V UART, 如果用户使用芯片的 UART 为 5V 电压, 则需要把 5V UART 转成 3.3V UART, 方能与 EMB1066 UART 通讯,5V-3.3V UART 转换电路请参考图 14 所示电路 图 V UART- 5V UART 转换电路 18

22 9. 销售与技术支持信息 如果需要咨询或购买本产品, 请在办公时间拨打电话咨询上海庆科信息技术有限公司 办公时间 : 星期一至星期五上午 :9:00~12:00, 下午 :13:00~18:00 联系电话 : / 联系地址 : 上海市普陀区金沙江路 2145 号 5 号楼 9 楼 邮编 : sales@mxchip.com 19

23 10. 版本更新说明 日期版本更新内容 初始文档 更新 2.1 节,EMB1066 封装引脚排列示意图 邮票口封装示意图 ; 2. 更新 3.2 节功耗参数表 ; 3. 更新 5.2 节 PCB 天线净空区示意图 ; 4. 更新 6.1 节模块尺寸图 20

DS0005E_EMW3162

DS0005E_EMW3162 产品手册 EMB1066 嵌入式蓝牙模块 版本 :1.0 日期 :2015-11-16 编号 :DS0017C 概要 特性 模块型号 包含一个超低功耗蓝牙芯片 微处理器主频 48MHz 16KB SRAM 512KB 片内 Flash 电压 :3.0V~3.6V Bluetooth 相关特性 支持蓝牙 4.0BLE 射频数据速率高达 2Mbps TX 功率 :+8dBm RX 接收灵敏度 : -92

More information

xxxx数据手册

xxxx数据手册 产品手册 嵌入式 Wi-Fi 模块 版本 :1.1 日期 :2016-6-21 编号 :DS0018C 概 要 特性 包含一个超低功耗蓝牙芯片 微处理器主频 24MHz 60KB RAM 320KB ROM 包含外部 64KB Flash 电压 :2.3V~3.6V Bluetooth 相关特性 支持蓝牙 BLE 4.1 ARM Cortex-M3 内核 射频数据速率 2Mbps 最大 TX 功率

More information

DS0005E_EMW3162

DS0005E_EMW3162 产品手册 EMB1061 嵌入式蓝牙模块 版本 :1.2 日期 :2018-03-08 编号 :DS0080CN 概要 特性 模块型号 包含一个超低功耗蓝牙芯片 ARM Cortex-M0 内核 微处理器主频 32MHz 24KB RAM 160KB Flash 电压 :1.7V~3.6V Bluetooth 相关特性 支持蓝牙 BLE 4.2 射频数据速率 2Mbps 最大 TX 功率 : 8dBm

More information

xxxx数据手册

xxxx数据手册 SPI 产品手册 嵌入式 Wi-Fi 模块 版本 :1.4 日期 :2017-01-20 编号 :DS0013C 概 要 特性 支持 802.11b/g/n 标准, 集 ARM-Cortex M3, WLAN MAC/BB/RF 于一体 Cortex M 系统中较高的 512KB SRAM / 2MB SDRAM / 2MB FLASH 配置 工作电压 :DC 3.3V 使用 20MHz 带宽时,

More information

Datasheet [Page 1] 版本更新说明 日期版本更新内容 初始文档 更新方案 增加 DIP 引脚定义说明, 更新模块图片

Datasheet [Page 1] 版本更新说明 日期版本更新内容 初始文档 更新方案 增加 DIP 引脚定义说明, 更新模块图片 产品手册 IOT GPRS 模块 版本 :1.0 日期 :2018-3-9 编号 :DS0017CN 概 要 特性 是四频段 GSM/GPRS 模块, 它的工作频段是 :GSM850MHz,GSM900MHz, DCS1800MHz 和 PCS1900MHz 支持 GPRS 多时隙等级 12 和 GPRS 编码格式 CS- 1,CS-2,CS-3 和 CS-4 包含 96MHz 的 Cortex-M3

More information

版权声明 图 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号和

版权声明 图 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号和 产品手册 嵌入式 Wi-Fi 模块 版本 :1.8 日期 :2018-12-30 编号 :DS0089CN 概 要 特性 支持 802.11b/g/n 标准, 集 ARM9, WLAN MAC/Baseband/RF 于一体 包含 256KB RAM/ 2MB FLASH 配置 工作电压 :DC 3.0-3.6V 使用 20MHz 带宽时, 最大传输速率达到 72.2Mbps Wi-Fi 相关特性

More information

xxxx数据手册

xxxx数据手册 (A)/(B) 产品手册 嵌入式加密安全 Wi-Fi 模块 版本 :1.6 日期 :2017-10-25 编号 :DS0069CN 概 要 特性 支持 802.11b/g/n 标准, 集 ARM-CM4F, WLAN MAC/Baseband/RF 于一体 包含 256KB RAM/ 2MB FLASH 配置 工作电压 :DC 3.0-3.6V 使用 20MHz 带宽时, 最大传输速率达到 72.2Mbps

More information

xxxx应用笔记

xxxx应用笔记 Track Number: ANxxxxCN MXCHIP Co., Ltd Version: 1.0 2017.11.13 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

xxxx应用笔记

xxxx应用笔记 Track Number: AN0067CN MXCHIP Co., Ltd Version: 1.2 2017.5.26 Category: Application Note Open EMW3080 客户设计注意事项 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避,

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

xxxx应用笔记

xxxx应用笔记 Track Number: MXCHIP Co., Ltd Version: 1.1 2017.9.11 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的 获取更多帮助

More information

Datasheet [Page 1] 版权声明 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号 和图

Datasheet [Page 1] 版权声明 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号 和图 产品手册 IoT WiFi SOC 版本 :1.8 日期 :2018-7-27 编号 :DS0099CN 摘 MOC108 /MOC108A 是高度集成的 IoT WiFi SoC 芯片, 包含 MCU RAM Flash WiFi 和多种 IO 接口 微处理器 (MCU) 存储设备 接口 WiFi 内核 :ARM 9 主频 :120MHz 256KB SRAM 2MB Flash 2x UART

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

DS0005E_EMW3162

DS0005E_EMW3162 MiCO Documentation Working Group (MDWG) Track Number: DFM0032CN KY Zhao MXCHIP Co., Ltd Version: 1.0 2015.12.25 Category: Application Note EMB1066 蓝牙透传固件使用手册 摘要 (Abstract) 本文描述了基于 EMB1066 蓝牙模块的透传固件使用方法

More information

修订历史 版本日期原因 V /03/17 创建文档 i

修订历史 版本日期原因 V /03/17 创建文档 i Data Sheet DS01010101 V1.00 Date: 2016/03/17 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

xxxx应用笔记

xxxx应用笔记 Track Number: AN00XXCN MXCHIP Co., Ltd Version: 1.0 2017.05.31 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

xxxx应用笔记

xxxx应用笔记 Track Number: AN0049CN MXCHIP Co., Ltd Version: 1.0 2017.8.10 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

幻灯片 1

幻灯片 1 无线数字解决方案模块选型指南 Xbee 产品线是一系列模块化的产品使无线技术的部署更加方便和高性价比. 通用的 Xbee 封装内提供多种协议和不同无线射频通讯特点, 使客户享有按照他们需求充分选择最好技术的灵活性, 无需在多个供应商中选择. 无论你需要 ZigBee 或快速的多点解决方案,2.4 GHz 或长距离 900 MHz 我们的 Xbee 都可以满足你的特殊需要. 规格 * 网络特点 工作频率

More information

修订历史版本 日期 原因 V /01/20 创建文档 V /03/14 正式版本 i

修订历史版本 日期 原因 V /01/20 创建文档 V /03/14 正式版本 i Data Sheet DS01010101 V1.00 Date: 2018/01/20 概述 是一款全新的高吞吐量的蓝牙 5 模块, 具有低成本 小尺寸和速率更高 功耗更低等优点 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产过程, 加快产品上市 满足快速开发需求, 减少软件投入, 缩短研发周期 该模块使用简单方便, 能迅速桥接电子产品和智能移动设备, 广泛应用于各种电子设备,

More information

xxxx数据手册

xxxx数据手册 产品手册 IoT Wi-Fi SOC 版本 :1.2 日期 :2017-11-16 编号 :DS0099CN 摘 要 特性 高度集成的 SoC 芯片 ARM 9,256KB SRAM 和 2MB Flash 支持 802.11b/g/n 无线局域网 高效率功率放大器 (PA) 丰富的 I/O 接口微处理器 (MCU) 内核 :ARM 9 主频 :120MHz 内存 256KB SRAM 2MB Flash

More information

修订历史版本 日期 原因 V /0617 创建文档 V /07/08 修改更新概述 产品特性及产品资料 V /07/10 更新模块参数, 增加 pcb 布局和回流焊 V /07/11 修改典型应用电路, 连接两个地, 完善电路 V1.0

修订历史版本 日期 原因 V /0617 创建文档 V /07/08 修改更新概述 产品特性及产品资料 V /07/10 更新模块参数, 增加 pcb 布局和回流焊 V /07/11 修改典型应用电路, 连接两个地, 完善电路 V1.0 Data Sheet DS01010101 V1.00 Date: 2015/12/23 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

Tel: , Fax: STR-30 STR bps 2400bps 4800bps 9600bps 19200bps 38400bps 76800bps

Tel: , Fax: STR-30 STR bps 2400bps 4800bps 9600bps 19200bps 38400bps 76800bps Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TL +86-021-50273226 50807785 13816690692 FX:+86-021-50807785-807 167 -mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

MENU SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC General Description Key Features Applications Key Para

MENU SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC General Description Key Features Applications Key Para SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC 1.1 General Description The SYD8821 is a low power and high performance 2.4GHz Bluetooth Low Energy SoC. SYD8821 integrates all Bluetooth smart devices

More information

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档 L6 LoRa TM 通信模块规格书 巧而美 唯匠心集成 智而快 享极速运行 版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使用许可,

More information

IOT-MSP432_硬件手册

IOT-MSP432_硬件手册 User Manual 版本 :0.2 日期 :2018-4-9 TI Launchpad 物联网接入扩展板 产品简介 是庆科信息联合 TI 推出的 Launchpad 物联网接入扩展板, 可用于物联网 智能硬件的原型机开 发 开发套件提供一个开箱即用的智能硬件解决方案, 方便验证用户的软件和功能, 使产品可以快速 安全 地连接至云服务平台和手机端, 缩短研发周期, 迅速推向市场 版权声明 未经许可,

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

Microsoft Word - RL-SM02BD-8723BS-V1.0 规格书.doc

Microsoft Word - RL-SM02BD-8723BS-V1.0 规格书.doc SPECIFICATION IEEE 802.11 b/g/n 2.4GHz 1T1R WiFi with Bluetooth2.1 /3.0/4.0,with SDIO INTERFACE, and HS-UART MIXED INTERFACE RL-SM02BD (Realtek RTL8723BS) Combo Module Version 1.0 第 1 页共 11 页 PRODUCT DESCRIPTION

More information

B1B1z_ch_print.pdf

B1B1z_ch_print.pdf Since 1992 PLC B1/B1z www.fatek.com SoC PLC CPU (HLS) / FLASHSRAM BGA PLC SoC CPU I/O PCB SoC B1/ B1z PLC 01 SoC B1/B1z PLC PLC B1/B1z PLC B1/B1z PLC FBs PLC FBs PLC 02 03 04 AC 5 C 40 C 5 C 55 C -25 C

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

xxxx数据手册

xxxx数据手册 产品手册 嵌入式加密安全 Wi-Fi 模块 版本 :1.2 日期 :2017-04-21 编号 :DS0075CN 摘要 特性 高度集成的 SoC 芯片 支持多种低功耗模式 ARM Cortex-M4F MCU,256KB SRAM 和 512KB ROM 单流支持 802.11b/g/n 无线局域网 高效率功率放大器 (PA) Flash 控制器内嵌 32KB cache, 支持外部 SPI flash

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 ROHS 规范 HT647PL 封装 :H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备 各类核磁共振仪器 粒子加速器

More information

Revisio Date Contents of Revision Change Remark /06/24 首次发布产品规格书 2014/06/ /09/09 更改规格书的模块图片 2014/09/ /12/17 添加 3.3V 的功耗 20

Revisio Date Contents of Revision Change Remark /06/24 首次发布产品规格书 2014/06/ /09/09 更改规格书的模块图片 2014/09/ /12/17 添加 3.3V 的功耗 20 SPECIFICATION IEEE 802.11 b/g/n 2.4GHz 1T1R WiFi with Bluetooth2.1 /3.0/4.0,with SDIO INTERFACE, and HS-UART MIXED INTERFACE RL-SM02BD (Realtek RTL8723BS) Combo Module Version 1.3 第 1 页共 1 页 Revisio Date

More information

Microsoft Word - RL-SM02BD-8723BS-V1.0 规格书.doc

Microsoft Word - RL-SM02BD-8723BS-V1.0 规格书.doc SPECIFICATION IEEE 802.11 B/G/N 2.4GHz 1T1R WiFi with Bluetooth2.1/3.0/4.0, and FM controller with SDIO interface, and HS-UART mixed interface NT-SM02BD-8723BS-12 WF+ BT+ FM Combo Module Version 1.0 PRODUCT

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9>

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9> 山 东 省 政 府 采 购 项 目 编 号 :SDDQ2016-116 项 目 名 称 : 山 东 化 工 技 师 学 院 教 学 专 用 仪 器 购 置 项 目 采 购 内 容 : 机 械 系 工 业 机 器 人 等 实 训 设 备 幼 儿 教 育 实 训 室 设 备 山 东 德 勤 招 标 评 估 造 价 咨 询 有 限 公 司 二 一 六 年 八 月 0 目 录 第 一 章 招 标 公 告 第

More information

MTP200A 中文.docx

MTP200A 中文.docx MTP200A Wi-Fi / BT Tester 产品特点 Signal Generator/Signal Analyzer 信号发生器 / 信号分析仪 Wi-Fi 可选 BT_LE 可选 Waveform Creator Wi-Fi 各种波形 CW Mode 连续波 Color LCD 彩色显示屏 PC 可电脑控制 USB Port(USB To Serial) 和 GPIB 两种控制接口 USB

More information

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址 CONVERGE PRO 880/880T/840T/8i, CON

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址  CONVERGE PRO 880/880T/840T/8i, CON CONVERGE PRO 880 880T 840T 8i TH20 CONVERGE SR 1212 专 业 会 议 系 统 安 装 和 操 作 手 册 技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 tech.support@clearone.com 网 址 www.clearone.com CONVERGE

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 1.8 至 0MHz 频率范围内的各类窄 宽带功放 为适应高 VSWR 应用的增强型鲁棒性设计 最高工作 VDD 可达 50V 适用于 28V 至 50V 范围内供电电压, 方便不同功率等级功放设计 优异的功率线性度 优异的热稳定性 内部集成的增强 ESD 设计 符合 RoHS 规范 HTH7G06P500H 封装 :H2110S-4L

More information

目录 1 介绍 基本描述 产品特色 全功能 Wi-Fi 联通性 内置专用 TCP/IP 协议栈 低 CPU 开销的串口传输 完善的 SDK 开发包 典型应用.

目录 1 介绍 基本描述 产品特色 全功能 Wi-Fi 联通性 内置专用 TCP/IP 协议栈 低 CPU 开销的串口传输 完善的 SDK 开发包 典型应用. TinyCon2005-LS 产品规格 日期版本号描述作者审阅者 2014-08-09 1.0 V1.0 Frank Liang 2014-09-15 1.0 V1.0.1 Frank Liang 1 锐凌微南京电子科技有限公司 目录 1 介绍... 7 1.1 基本描述... 7 1.2 产品特色... 7 1.2.1 全功能 Wi-Fi 联通性... 7 1.2.2 内置专用 TCP/IP 协议栈...

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

规格书 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量

规格书     机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 http://www.zzydz.cn www.zzydz.com 机型 :SF-1132 VER2.2 第 1 页共 9 页 规格承认书 产品 : LED Backlight Converter 公司编号 : SF-1132 VER 2.2 版 本 : Ver2.2 客户名称 : 样品数量 : 送样日期 : 批准 审核 客户签名 客户签名 拟制审核批准 第 2 页共 9 页 CONTENTS 1.0

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

目录 1. 产品介绍 概述 特征 产品框图 外观图 特性 管脚图 电气特性 硬件设计注意事项 应用框图 GPIO 介绍 LED 控制... 2.

目录 1. 产品介绍 概述 特征 产品框图 外观图 特性 管脚图 电气特性 硬件设计注意事项 应用框图 GPIO 介绍 LED 控制... 2. 产品规格书 串口 WiFi 模块 LCX801 (2.4GHz/150Mbps 802.11 b/g/n) 目录 1. 产品介绍... 1.1 概述... 1.2 特征... 1.3 产品框图... 1.4 外观图... 1.5 特性... 1.6 管脚图... 1.7 电气特性... 2. 硬件设计注意事项... 2.1 应用框图... 2.2 GPIO 介绍... 2.2.1 LED 控制...

More information

2016.8.24

2016.8.24 24 2016 年 8 月 日 农历丙申年七月廿二 今日 8 版 总第 1579 期 扫一扫 加关注 中共溧阳市委员会主办 溧阳市新闻信息中心承办 新闻爆料 主流 / 权威 / 民生 / 责任 规范城市管理 优化人居环境 服务热线 87224444 服务发展 关注民生 市场监管 80998921 服务热线 美音自在溧阳 80998922 发展 四大经济 建设崭新溧阳系列解读之三 向休闲经济拓展三年行动计划政策解读

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

目 录 一 技 术 条 件...4 1 工 程 概 况 及 适 用 范 围...4 2 环 境 条 件...4 3 采 用 标 准 规 范...5 4 车 站 / 车 辆 段 / 停 车 场 变 电 所 综 合 自 动 化 系 统... 6 5 车 站 / 车 辆 段 / 停 车 场 交 直 流 电

目 录 一 技 术 条 件...4 1 工 程 概 况 及 适 用 范 围...4 2 环 境 条 件...4 3 采 用 标 准 规 范...5 4 车 站 / 车 辆 段 / 停 车 场 变 电 所 综 合 自 动 化 系 统... 6 5 车 站 / 车 辆 段 / 停 车 场 交 直 流 电 变 电 所 综 合 自 动 化 及 交 直 流 电 源 装 置 技 术 参 数 目 录 一 技 术 条 件...4 1 工 程 概 况 及 适 用 范 围...4 2 环 境 条 件...4 3 采 用 标 准 规 范...5 4 车 站 / 车 辆 段 / 停 车 场 变 电 所 综 合 自 动 化 系 统... 6 5 车 站 / 车 辆 段 / 停 车 场 交 直 流 电 源 装 置... 34

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

WH-BLE103硬件设计手册V1.0.0.doc

WH-BLE103硬件设计手册V1.0.0.doc WH-BLE103 硬件设计手册 文件版本 :V1.0.0 第 1 页共 17 页 目录 WH-BLE103 硬件设计手册... 1 1 关于文档... 3 1.1. 文档目的... 3 1.2. 产品外观... 3 1.3. 参考文档列表... 3 2 产品简介... 4 2.1. 基本参数... 4 2.2. 模块应用框图... 4 2.3. 引脚定义... 5 2.4. 开发套件... 6 3

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

Microsoft Word - 39.doc

Microsoft Word - 39.doc 摘 基 于 ARM 的 嵌 入 式 无 线 AP 的 设 计 杨 健 陈 永 泰 ( 武 汉 理 工 大 学 信 息 工 程 学 院, 武 汉 430070) 要 : 本 文 首 先 介 绍 了 无 线 AP 的 基 本 原 理, 然 后 重 点 描 述 基 于 AT76C510 的 无 线 AP 的 硬 件 设 计 及 嵌 入 式 系 统 uclinux 最 后 对 IEEE802.11b 的 安

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF PCF PCF PCF PCF 注

掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF PCF PCF PCF PCF 注 钥匙解锁接线图 ------- 专为锁匠而生, 只为锁匠而省!------- Power by JMD technology Version 1.0.2 2018/5/11-1 - 掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF7941 4 19 10 11 PCF7945 4 19 8 9 PCF7952 8 11 21 22 PCF7953

More information

安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请

安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请 1080p 方 形 网 络 摄 像 机 使 用 手 册 201301 800 A2 安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请 勿 将 摄 像 机

More information

Data Sheet ZLG9021P0-1C-TC 蓝牙模块 DS V1.01 Date:2019/03/08 产品数据手册 概述 ZLG9021P0-1C-TC 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出,

Data Sheet ZLG9021P0-1C-TC 蓝牙模块 DS V1.01 Date:2019/03/08 产品数据手册 概述 ZLG9021P0-1C-TC 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, Data Sheet DS01010101 V1.01 Date:2019/03/08 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

Data Sheet ZLG9021P0-1 蓝牙模块 DS V1.02 Date: 2019/0308 产品数据手册 概述 ZLG9021P0-1 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发

Data Sheet ZLG9021P0-1 蓝牙模块 DS V1.02 Date: 2019/0308 产品数据手册 概述 ZLG9021P0-1 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发 Data Sheet DS01010101 V1.02 Date: 2019/0308 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

投影片 1

投影片 1 OtO Photonics 描述 CB 系列光谱仪控制电路板是由 32 bit RISC core 16 bit ADC 和低电压电路组成之控制板 系列控制电路板可透过 USB2.0 连接 OTO 计算机软件 (SpectraSmart) C 同时内建可程控的 CCD & ADC 时序产生器, 可控制不同种类的 CCD 且可高速读取 ADC 数据 CB 系列光谱仪控制电路版提供用户多种电子接口来连接其他外部设施,

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

免责申明和版权公告 本文中的信息 包括供参考的 URL 地址 如有变更 恕不另行通知 文档 按现状 提供 不负任何担保责任 包括对适销性 适用于特定用途或非侵权性的任何担保 和 任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任 包括使用本文档内信息产生的侵犯 任何专利权行为的责任 本文档

免责申明和版权公告 本文中的信息 包括供参考的 URL 地址 如有变更 恕不另行通知 文档 按现状 提供 不负任何担保责任 包括对适销性 适用于特定用途或非侵权性的任何担保 和 任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任 包括使用本文档内信息产生的侵犯 任何专利权行为的责任 本文档 WT8266-S1 极致 / 开放 / 小巧 / 易用 规格书 版本 2.4 2016 年 1 月 22 日 免责申明和版权公告 本文中的信息 包括供参考的 URL 地址 如有变更 恕不另行通知 文档 按现状 提供 不负任何担保责任 包括对适销性 适用于特定用途或非侵权性的任何担保 和 任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任 包括使用本文档内信息产生的侵犯 任何专利权行为的责任

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

修订历史 版本日期原因 V /08/02 创建文档 i

修订历史 版本日期原因 V /08/02 创建文档 i Data Sheet NB-IoT 通信模块 DS01010101 V1.01 Date: 2018/08/02 产品数据手册 概述 产品特性 ZM7100X 是广州致远电子有限公司自主研发的一款高性能 低功耗 低成本 大连接的 NB-IoT 尺寸为 16mm 18mm, 是最小的标准尺寸, 能满足终端设备对小尺寸模块产品的需求, 已支持主流的 OneNet 云 天翼云和阿里云, 能够最大程度地拿到运营商补贴

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b SAM 系列射频同轴连接器 航天电器 特点简介 SMA 射频同轴连接器具有体积小 频带宽 机械电气性能优越 可靠性高等优点, 是应用最广泛的射频电连接器 广泛用于微波通讯 航天航海 武器系统及微波测量设备等领域 技术特性 温度范围 -65 ~ +165 绝缘电阻 5000MΩ 特性阻抗 50Ω 介质耐压 1000V 频率范围 配软电缆 0 ~ 12.4GHz 中心导体 0.003Ω 接触电阻配半刚

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

Cypress CapSense PSoC,Cypress CapSense PSoC CSD CapSense Plus PSoC CapSense USB cy8c24x94 PSoC CapSense (LEDLCD ) us :,,,,,, :

Cypress CapSense PSoC,Cypress CapSense PSoC CSD CapSense Plus PSoC CapSense USB cy8c24x94 PSoC CapSense (LEDLCD ) us :,,,,,, : PSoCCapSensePLUS CapSense PLUS CapSense LCD PSoC CapSensePLUS,, CapSensePLUS,,,,, http://cn21iccom/customer/cypress/20070307htm 20X34,21X34,24X94 USB 21X34 CapSense plus PSoC Designer, plus CapSense Plus

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information