xxxx数据手册

Size: px
Start display at page:

Download "xxxx数据手册"

Transcription

1 (A)/(B) 产品手册 嵌入式加密安全 Wi-Fi 模块 版本 :1.6 日期 : 编号 :DS0069CN 概 要 特性 支持 b/g/n 标准, 集 ARM-CM4F, WLAN MAC/Baseband/RF 于一体 包含 256KB RAM/ 2MB FLASH 配置 工作电压 :DC V 使用 20MHz 带宽时, 最大传输速率达到 72.2Mbps 使用 40MHz 带宽时, 最大传输速率达到 150Mbps B 版本为标准版本 ;A 版本增加硬件加密功能, 增强了云端连接和固件保护的安全等级, 符合安全等级 CC EAL5+ AVA_VAN5 PCB 天线或 IPX 天线可选 外设 : 2x UART 2x I2C 1x SPI 1x SWD 6x PWM Up to 13GPIOs 工作环境温度 :-20 to +85 应用 智能照明 (A) 硬件加密特性 对客户固件加密, 防止被破解 对客户固件进行数字签名, 保证其完整性和合法性, 防止被篡改或 OTA 过程中被替换 自动产生私钥, 保存从云端签发的设备证书, 云端可以识别设备的合法性, 防止非法 仿冒 非安全的设备接入云端 防止黑客获取敏感性数据和代码 Wi-Fi 相关特性 支持 b/g/n 标准,HT-40 支持 Station, Soft AP, Station+Soft AP 支持 EasyLink,Alink,Joinlink 智能交通 智能家居 / 家电 工业自动化 智能安防模块型号模块类型 (AP) (AE) (BP) (BE) 说明 硬件加密,PCB 天线硬件加密,IPEX 天线标准版,PCB 天线标准版,IPEX 天线

2 硬件框图 UART x 2 I 2 C x 2 PWM x 5 VBAT_MEAS SWD GPIO x 11 (A) Wi-Fi Module Block Diagram 256KB SRAM SOC 133MHz Cortex-M4F MCU 512KB ROM b/g/n MAC 2.4GHz Radio 40MHz OSC Encryption Chip On-board PCB Ant U.F.L connector UART x 2 I 2 C x 2 SPI x 1 PWM x 6 VBAT_MEAS SWD GPIO x 13 (B) Wi-Fi Module Block Diagram SOC 133MHz Cortex-M4F MCU 256KB SRAM 512KB ROM b/g/n MAC 2.4GHz Radio 40MHz OSC On-board PCB Ant U.F.L connector 2MB Flash SPI Power Management 2MB Flash SPI Power Management 3.3V Input 3.3V Input (A) 版本 EWM3080(B) 版本 版权声明 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零 件号和图

3 Datasheet [Page 1] 版本更新说明 日期版本更新内容 初始文档 更新标签, 封装,RF 参数, 添加加密,BOOT/EASYLINK 描述 更新 PCB 封装, 统一原理图接口定义并更新说明 更新功耗测试数据 更新 PIN 信息 更新天线净空区图 更新存储温度范围 模块封装由 LGA 更换为邮票孔, 更新模块照片 第 1.2 节邮票孔封装尺寸图更新底面散热 pad 尺寸, 散热孔由 9 个增 加为 16 个 第 6 节参考设计增加内部上下拉说明 更新模块照片 规范 RF 指标范围

4 Datasheet [Page 2] 目录 概要... 1 版本更新说明 产品简介 标签信息 引脚排列... 6 引脚定义 封装定义 引脚定义 电气参数 工作条件 功耗参数 工作环境 静电放电 射频参数 基本射频参数 TX 性能 IEEE802.11b 模式 TX/RX 特性 IEEE802.11g 模式 TX/RX 特性 IEEE802.11n-HT20 和 HT40 模式 TX/RX 特性 天线信息 天线类型 PCB 天线净空区 外接天线连接器 总装信息及生产指导 总装尺寸图 生产指南 ( 请务必要仔细阅读 ) 注意事项 存储条件 二次回流温度曲线 参考电路 模块 MOQ 与包装信息 销售与技术支持信息 图目录 图 1 标签整体照片... 6 图 2 DIP 封装尺寸图... 7

5 Datasheet [Page 3] 图 3 邮票孔封装尺寸图... 8 图 4 封装定义图... 8 图 5 PCB 天线最小净空区 ( 单位 :mm) 图 6 外接天线连接器尺寸图 图 7 三视图 ( 单位 :mm) 图 8 湿度卡 图 9 存储条件示意图 图 10 参考回流温度曲线 图 11 电源参考电路 图 12 USB 转串口参考电路 图 13 外部接口参考设计 图 V UART- 5V UART 转换电路 表目录 表 1 版本引脚定义... 9 表 2 输入电压范围 表 3 电压绝对最大额定值 表 4 功耗参数 表 5 温湿度条件 表 6 静电释放参数 表 7 射频标准 表 8 IEEE802.11b 模式收发特性参数 表 9 IEEE802.11g 模式收发特性参数 表 10 IEEE802.11n-HT20MHz 模式收发特性 表 11 IEEE802.11n-HT40MHz 模式收发特性... 16

6 Datasheet [Page 4] 1. 产品简介 是上海庆科 (MXCHIP) 推出的高性价比嵌入式 W-Fi 模块, 高度集成 ARM CM4F,WLAN MAC/Baseband/RF, 最高主频 133MHz, 内置 256KB SRAM,2M FLASH,3.3V 单电源供电, 邮票孔 SMT 或插针两种安装方式, 外设 :2xUART / 1x SPI /2x I2C / 6x PWM / Up to 13 GPIOs 运行 MiCO3.0 物联网操作系统, 支持 Micoder 1.0 开发系统, 通过 MiCO 集成的 TCP/IP 协议栈 多种安全加密算法 庆科 EasyLink / 阿里 Alink 1.1 / Joinlink 3.0 / 华为 Hilink / 中移动 One Net / 国美 Gome / 苏宁等多种智能配网, 以及海外亚马逊 AWS / Ayla / 微软 / IBM / Google / Apple HomeKit 等各类智能云端接入协议, 向用户直接提供快速 稳定 安全的端到云链接 (A): 内部集成加密芯片, 为客户固件的完整性 合法性, 以及与云端通信的安全性提供硬件加密保障 ( B ): 无内部加密芯片,Memory 外设接口资源丰富, 能满足大部分应用需求和多云要求 下图是 模块的硬件框图, 主要包括四大部分 : CM4F 主核 WLAN MAC/BB/RF/ANT 硬件加密功能 电源管理其中 : 1. ARM CM4F CPU, 工作频率最大至 133MHz, 内部集成 256K SRAM,2MB FLASH, 支持高速 UART, I2C,SPI,PWM, 以及多个 GPIO 口 2. 2MB 的片外 SPI Flash 用于客户固件定制开发 3. 支持 PCB 天线和 IPEX 外置天线 4. 输入典型电压 :DC 3.3V UART x 2 I 2 C x 2 PWM x 5 VBAT_MEAS SWD GPIO x 11 (A) Wi-Fi Module Block Diagram SOC 133MHz Cortex-M4F MCU 40MHz OSC Encryption Chip b/g/n 256KB SRAM MAC On-board PCB Ant 512KB ROM 2.4GHz Radio U.F.L connector UART x 2 I 2 C x 2 SPI x 1 PWM x 6 VBAT_MEAS SWD GPIO x 13 (B) Wi-Fi Module Block Diagram SOC 133MHz Cortex-M4F MCU 40MHz OSC b/g/n 256KB SRAM MAC On-board PCB Ant 512KB ROM 2.4GHz Radio U.F.L connector 2MB Flash SPI Power Management 2MB Flash SPI Power Management 3.3V Input 3.3V Input 硬件框图模块接口

7 Datasheet [Page 5] (A) (B) 加密安全芯片内置无内置, 支持外置 CPU 类型 ARM CM4F CPU 最高频率 133MHz 133MHz 内存容量 256KB 256KB Flash(QSPI 100MHz) 2MB( 支持 XIP CACHE 运行和解密 ) UART ( 最大 6Mbps) 2 路 2 路 I2C (400KHz) 2 路 2 路 SPI (31.25MHz) 无 1 路 PWM ( 最大 4MHz) 5 路 6 路 SWD 调试口 1 路 1 路 Debug 调试口 1 路 1 路 GPIO( 驱动力 4mA) 11 路 13 路

8 Datasheet [Page 6] 1.1 标签信息 图 1 标签整体照片 标签信息 : CMIIT ID:2017DP1516: SRRC 核准号 FCC ID:P53- :FCC 认证信息 CE : CE 认证 log : 模块主型号 :MAC 地址 ( 每个模块有唯一的 MAC 地址 ) F3080AP /F3080AE: 模块 A 版本副型号,PCB 天线 /IPEX 天线 F3080BP /F3080BE: 模块 B 版本副型号,PCB 天线 /IPEX 天线 X1701: 生产批次 AT08 : SN 串号 1.2 引脚排列 采用邮票孔封装和 DIP 封装两种接口设计方案,DIP 封装设计 ( 如图 2 所示 ) 有效减少二次贴片的质量风险 ; 邮票孔封装设计 ( 如图 3 所示 ) 方便客户调试, 易于拆装, 为客户设计提供多样性选择 阻焊开窗和焊盘大小一致,SMT 建议钢网厚度 0.12mm-0.14mm

9 Datasheet [Page 7] 图 2 DIP 封装尺寸图

10 Datasheet [Page 8] 图 3 邮票孔封装尺寸图 1.3 引脚定义 封装定义 图 4 封装定义图

11 Datasheet [Page 9] 引脚定义 表 1 版本引脚定义 引脚 号 FUNCTION1 FUNCTION2 FUNCTION3 FUNCTION4 FUNCTION5 FUNCTION6 1, 3 MICO_GPIO_1 MICO_PWM1 SWCLK 2, 4 MICO_GPIO_2 MICO_PWM2 SWDIO 5 NC 6 NC 7 MICO_GPIO_7 MICO_I2C0_SCL MICO_UART0_RTS MICO_PWM6 MICO_SPI1_MISO 8 MICO_GPIO_8 MICO_I2C0_SDA MICO_UART0_CTS MICO_SPI1_CS 9 MICO_GPIO_9 MICO_I2C1_SDA MICO_UART0_TXD MICO_PWM1 MICO_SPI1_MOSI 10 MICO_GPIO_10 MICO_I2C1_CLK MICO_UART0_RXD MICO_SPI1_CLK 11 CHIP_EN 12 MICO_GPIO_12 MICO_PWM3 13 MICO_GPIO_13 MICO_PWM4 14 MICO_GPIO_14 MICO_PWM5 15 NC 16 VDD 17 GND 18 NC 19 MICO_GPIO_19 20 NC 21, 24 MICO_GPIO_21 MICO_I2C0_SDA MICO_UART1_TXD MICO_PWM4 22, 25 MICO_GPIO_22 MICO_I2C0_SCL MICO_UART1_RXD MICO_PWM5 23 MICO_GPIO_23 说明 : (1) 黑色标识为 A 版本与 B 版本共有功能引脚, 蓝色标识为 B 版本独有功能引脚 较 A 版本, (B) 版本的区别为 PIN 7,8,9,10 四个引脚, 主要增加了 UART 的流控功能,SPI 功能, 一组 PWM 输出,2 组 GPIO

12 Datasheet [Page 10] (2)PIN 19 引脚默认为 BOOT 使用,PIN23 引脚为 EASYLINK 使用,PIN21/24 与 PIN22/25 引脚为调试 log 信息输出使用, 硬件设计时请尽量不要使用, 若要使用请联系我司工程师确认 (3)PIN21/24 引脚上电时刻电平必须为高或者悬空, 请在设计电路时特别注意 (4)CHIP_EN 引脚为使能复位引脚, 如果不使用请保持悬空 (5) 不使用的引脚请保持悬空 (6)I2C 最大速率 400Kbps (7) 硬件支持 PWM, 最大速率 2MHz

13 Datasheet [Page 11] 2. 电气参数 2.1 工作条件 在输入电压低于最低额定电压下会造成工作不稳定 电源设计时需要注意这点 表 2 输入电压范围 符号说明条件 详细 最小值典型值最大值单位 VDD 电源电压 V 模块超出绝对最大额定值工作会给硬件造成永久性伤害 同时, 长时间在最大额定值下工作会影响模 块的可靠性 表 3 电压绝对最大额定值 符号说明最小值典型值单位 VDD 模块电源输入电压 V VIN GPIO 引脚输入电压 V 2.2 功耗参数 表 4 功耗参数 状态平均电流 (3V3) 最大电流 (3V3) 描述 WIFI 初始化 26.91mA 33.1mA 开启 WIFI 低功耗 保持 WIFI 连接 47.71mA 119.5mA 保持连接路由器, 开启 WIFI 低功耗 UDP 发送 mA 298.7mA 关闭 WIFI 低功耗 SoftAP mA 260.4mA SoftAP 联网状态 Easylink mA 136.7mA 模块配网状态 Standby 10.45uA 12.07uA 进入超低待机功耗模式 说明 : 该测试数据在不同的固件版本下可能会不同, 最大工作电流约 300 ma

14 Datasheet [Page 12] 2.3 工作环境 表 5 温湿度条件 符号 名称 最大 单位 TSTG 存储温度 -20 to +85 TA 工作温度 -20 to +85 Humidity 非冷凝, 相对湿度 95 % 2.4 静电放电 表 6 静电释放参数 符号名称名称等级最大值单位位 V ESD (HBM) V ESD (CDM) 静电释放电压 ( 人体模型 ) 静电释放电压 ( 放电设备模型 ) TA= +25 C 遵守 JESD22-A TA = +25 C 遵守 JESD22-C101 II 500 V

15 Datasheet [Page 13] 3. 射频参数 3.1 基本射频参数 表 7 射频标准 项目 说明 工作频率 Wi-Fi 无线标准 2.412~2.472GHz IEEE802.11b/g/n (1x1) 11b: DBPSK, DQPSK,CCK for DSSS 调制方式 11g: BPSK, QPSK, 16QAM, 64QAM for OFDM 11n: MCS0~7,OFDM 11b:1,2,5.5 和 11Mbps 20MHz 11g : 6,9,12,18,24,36,48,54Mbps 数据传输速率 11n_HT20: MCS0~7, 最大 72.2Mbps 40MHz 11n_HT40:MCS0~7, 最大 150Mbps 天线类型 PCB 天线 ( 默认 ) IPEX 外接天线 ( 可选 ) 3.2 TX 性能 IEEE802.11b 模式 TX/RX 特性 表 8 IEEE802.11b 模式收发特性参数 类目 内容 模式 IEEE802.11b 信道 CH1 to CH13 速率 1, 2, 5.5, 11Mbps TX 特性最小值典型值. 最大值单位 1. 输出功率 dbm 2. 频谱模板

16 Datasheet [Page 14] 1) fc +/-11MHz to +/-22MHz dbr 2) fc > +/-22MHz dbr 3. 频偏 ppm 4. EVM( Peak EVM) 1) 1~11Mbps % RX 最小接收灵敏度最小值典型值. 最大值单位 1Mbps (FER 8%) dbm 11Mbps (FER 8%) dbm IEEE802.11g 模式 TX/RX 特性 表 9 IEEE802.11g 模式收发特性参数 类目 内容 模式 IEEE802.11g 信道 CH1 to CH13 速率 6, 9, 12, 18, 24, 36, 48, 54Mbps TX 特性最小值典型值. 最大值单位 1. 输出功率 dbm 2. 频谱模板 1) at fc +/- 11MHz dbr 2) at fc +/- 20MHz dbr 3) at fc > +/-30MHz -40 dbr 3. 频偏 ppm 4. EVM( Peak EVM) 6Mbps dbm

17 Datasheet [Page 15] 54Mbps dbm RX 最小接收灵敏度最小值典型值. 最大值单位 6Mbps (FER 10%) dbm 54Mbps (FER 10%) dbm IEEE802.11n-HT20 和 HT40 模式 TX/RX 特性 表 10 IEEE802.11n-HT20MHz 模式收发特性 类目 内容 模式 IEEE802.11n HT20 信道 CH1 to CH13 速率 MCS0/1/2/3/4/5/6/7, 最大 72.2Mbps TX 特性最小值典型值. 最大值单位 1. 输出功率 dbm 2. 频谱模板 1) at fc +/- 11MHz dbr 2) at fc +/- 20MHz dbr 3) at fc > +/-30MHz -45 dbr 3. 频偏 ppm 4. EVM( Peak EVM) MCS dbm MCS dbm RX 最小接收灵敏度最小值典型值. 最大值单位 MCS0 (FER 10%) dbm MCS7 (FER 10%) dbm

18 Datasheet [Page 16] 表 11 IEEE802.11n-HT40MHz 模式收发特性 类目 内容 模式 IEEE802.11n HT40 信道 CH3 to CH11 速率 MCS0/1/2/3/4/5/6/7, 最大 150Mbps TX 特性最小值典型值. 最大值单位 1. 输出功率 dbm 2. 频谱模板 1) at fc +/- 22MHz dbr 2) at fc +/- 40MHz dbr 3) at fc > +/-60MHz -45 dbr 3. 频偏 ppm 4. EVM( Peak EVM) MCS dbm MCS dbm RX 最小接收灵敏度最小值典型值. 最大值单位 MCS0 (FER 10%) dbm MCS7 (FER 10%) dbm

19 Datasheet [Page 17] 4. 天线信息 4.1 天线类型 有 PCB 天线和 IPX 天线两种规格, 型号为 (AP/BP) 和 (AE/BE) EWM3080(AP) (BP) (AE) (BE) 4.2 PCB 天线净空区 在 WIFI 模块上使用 PCB 天线时, 需要确保主板 PCB 和其它金属器件距离至少 16mm 以上 下图中阴 影部分标示区域需要远离金属器件 传感器 干扰源以及其它可能造成信号干扰的材料

20 Datasheet [Page 18] 图 5 PCB 天线最小净空区 ( 单位 :mm)

21 Datasheet [Page 19] 4.3 外接天线连接器 图 6 外接天线连接器尺寸图

22 Datasheet [Page 20] 5. 总装信息及生产指导 5.1 总装尺寸图 主视图 左视图 底视图 图 7 三视图 ( 单位 :mm) 5.2 生产指南 ( 请务必要仔细阅读 ) 庆科出厂的邮票口封装模块必须由 SMT 机器贴片, 并且拆开包装烧录固件后 24 内必须贴片完成, 否则要重新抽真空包装, 贴片前要对模块进行烘烤 SMT 贴片需要仪器

23 Datasheet [Page 21] (1) 回流焊贴片机 (2)AOI 检测仪 (3) 口径 6-8mm 吸嘴 烘烤需要设备 : (1) 柜式烘烤箱 (2) 防静电 耐高温托盘 (3) 防静电耐高温手套 庆科出厂的模块存储条件如下 ( 存储环境如 5.4 节图 9 所示 ): 防潮袋必须储存在温度 <30 C, 湿度 <85%RH 的环境中 干燥包装的产品, 其保质期应该是从包装密封之日起 6 个月的时间 密封包装内装有湿度指示卡 图 8 湿度卡 庆科出厂模块需要烘烤, 湿度指示卡及烘烤的几种情况如下所述 : 拆封时如果温湿度指示卡读值 30% 40% 50% 色环均为蓝色, 需要对模块进行持续烘烤 2 小时 ; 拆封时如果湿度指示卡读取到 30% 色环变为粉色, 需要对模块进行持续烘烤 4 小时 ; 拆封时如果湿度指示卡读取到 30% 40% 色环变为粉色, 需要对模块进行持续烘烤 6 小时 ; 拆封时如果湿度指示卡读取到 30% 40% 50% 色环均变为粉色, 需要对模块进行持续烘烤 12 小时. 烘烤参数如下 : 烘烤温度 :125 ±5 ;

24 Datasheet [Page 22] 报警温度设定为 130 ; 自然条件下冷却 <36 后, 即可以进行 SMT 贴片 ; 干燥次数 :1 次 ; 如果烘烤后超过 12 小时没有焊接, 请再次进行烘烤 如果拆封时间超过 3 个月, 禁止使用 SMT 工艺焊接此批次模块, 因为 PCB 沉金工艺, 超过 3 个月焊盘氧化严重,SMT 贴片时极有可能导致虚焊 漏焊, 由此带来的种种问题我司不承担相应责任 ; SMT 贴片前请对模块进行 ESD( 静电放电, 静电释放 ) 保护 ; 请根据回流焊曲线图进行 SMT 贴片, 峰值温度 245, 回流焊温度曲线如 5.5 节图 10 所示 ; 为了确保回流焊合格率, 首次贴片请抽取 10% 产品进行目测 AOI 检测, 以确保炉温控制 器件吸附方式 摆放方式的合理性 ; 之后的批量生产建议每小时抽取 5-10 片进行目测 AOI 测试 5.3 注意事项 在生产全程中各工位的操作人员必须戴静电手套 ; 烘烤时不能超过烘烤时间 ; 烘烤时严禁加入爆炸性 可燃性 腐蚀性物质 ; 烘烤时, 模块应用高温托盘放入烤箱中, 保持每片模块之间空气流通, 同时避免模块与烤箱内壁直接接触 ; 烘烤时请将烘烤箱门关好, 保证烘烤箱封闭, 防止温度外泄, 影响烘烤效果 ; 烘烤箱运行时尽量不要打开箱门, 若必须打开, 尽量缩短可开门时间 ; 烘烤完毕后, 需待模块自然冷却至 <36 后, 方可戴静电手套拿出, 以免烫伤 ; 操作时, 严防模块底面沾水或者污物 ; 庆科出厂模块温湿度管控等級为 Level3, 存储和烘烤条件依据 IPC/JEDEC J-STD-020

25 Datasheet [Page 23] 5.4 存储条件 图 9 存储条件示意图

26 Datasheet [Page 24] 5.5 二次回流温度曲线 建议使用焊锡膏型号 :SAC305, 无铅 回流次数不超过 2 次 图 10 参考回流温度曲线

27 Datasheet [Page 25] 6. 参考电路 参考电路如下图 11 电源参考电路 图 12 USB 转串口参考电路 图 13 外部接口参考设计所 示供用户参考 图 11 电源参考电路 图 12 USB 转串口参考电路 图 13 外部接口参考设计 UART 为 3.3V UART, 如果用户使用芯片的 UART 为 5V 电压, 则需要把 5V UART 转成 3.3V UART, 方能与 UART 通讯,5V-3.3V UART 转换电路请参考图 14 所示电路

28 Datasheet [Page 26] 注意 : 模块内部在 21 脚 MICO_UART1_TXD 有 100K 硬件弱上拉电阻, 在 22 脚 MICO_UART1_RXD 有 10K 硬件上拉电阻,MICO_PWM3 引脚具有 10K 硬件下拉电阻,CHIP_EN 已经内部 100K 上拉电阻和 0.01UF 对地电容, 请在设计原理图和 PCB 中特别要注意, 根据内部硬件合理分配上下拉 图 V UART- 5V UART 转换电路

29 Datasheet [Page 27] 7. 模块 MOQ 与包装信息 表 16 模块 MOQ 与包装信息 料号 MOQ(pcs) 出货包装方式 ( 托盘 / 卷带 ) 每个托盘存放 模块数 (pcs) 每小箱托盘数 ( 个 ) 每小箱模块数量 (pcs) (AP) (AE) (BP) 1050(2 小箱 ) 托盘 (BE)

30 Datasheet [Page 28] 8. 销售与技术支持信息 如果需要咨询或购买本产品, 请在办公时间拨打电话咨询上海庆科信息技术有限公司 办公时间 : 星期一至星期五上午 :9:00~12:00, 下午 :13:00~18:00 联系电话 : 联系地址 : 上海市普陀区金沙江路 2145 弄 5 号 9 楼邮编 : sales@mxchip.com

xxxx数据手册

xxxx数据手册 SPI 产品手册 嵌入式 Wi-Fi 模块 版本 :1.4 日期 :2017-01-20 编号 :DS0013C 概 要 特性 支持 802.11b/g/n 标准, 集 ARM-Cortex M3, WLAN MAC/BB/RF 于一体 Cortex M 系统中较高的 512KB SRAM / 2MB SDRAM / 2MB FLASH 配置 工作电压 :DC 3.3V 使用 20MHz 带宽时,

More information

版权声明 图 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号和

版权声明 图 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号和 产品手册 嵌入式 Wi-Fi 模块 版本 :1.8 日期 :2018-12-30 编号 :DS0089CN 概 要 特性 支持 802.11b/g/n 标准, 集 ARM9, WLAN MAC/Baseband/RF 于一体 包含 256KB RAM/ 2MB FLASH 配置 工作电压 :DC 3.0-3.6V 使用 20MHz 带宽时, 最大传输速率达到 72.2Mbps Wi-Fi 相关特性

More information

DS0005E_EMW3162

DS0005E_EMW3162 产品手册 EMB1066 嵌入式蓝牙模块 版本 :1.0 日期 :2015-11-16 编号 :DFB0001BT 概要 特性 模块型号 包含一个超低功耗蓝牙芯片 微处理器主频 48MHz 16KB SRAM 512KB 片内 Flash 电压 :3.0V~3.6V Bluetooth 相关特性 支持蓝牙 4.0BLE 射频数据速率高达 2Mbps TX 功率 :+8dBm RX 接收灵敏度 : -92

More information

DS0005E_EMW3162

DS0005E_EMW3162 产品手册 EMB1066 嵌入式蓝牙模块 版本 :1.0 日期 :2015-11-16 编号 :DS0017C 概要 特性 模块型号 包含一个超低功耗蓝牙芯片 微处理器主频 48MHz 16KB SRAM 512KB 片内 Flash 电压 :3.0V~3.6V Bluetooth 相关特性 支持蓝牙 4.0BLE 射频数据速率高达 2Mbps TX 功率 :+8dBm RX 接收灵敏度 : -92

More information

xxxx数据手册

xxxx数据手册 产品手册 嵌入式 Wi-Fi 模块 版本 :1.1 日期 :2016-6-21 编号 :DS0018C 概 要 特性 包含一个超低功耗蓝牙芯片 微处理器主频 24MHz 60KB RAM 320KB ROM 包含外部 64KB Flash 电压 :2.3V~3.6V Bluetooth 相关特性 支持蓝牙 BLE 4.1 ARM Cortex-M3 内核 射频数据速率 2Mbps 最大 TX 功率

More information

DS0005E_EMW3162

DS0005E_EMW3162 产品手册 EMB1061 嵌入式蓝牙模块 版本 :1.2 日期 :2018-03-08 编号 :DS0080CN 概要 特性 模块型号 包含一个超低功耗蓝牙芯片 ARM Cortex-M0 内核 微处理器主频 32MHz 24KB RAM 160KB Flash 电压 :1.7V~3.6V Bluetooth 相关特性 支持蓝牙 BLE 4.2 射频数据速率 2Mbps 最大 TX 功率 : 8dBm

More information

Datasheet [Page 1] 版本更新说明 日期版本更新内容 初始文档 更新方案 增加 DIP 引脚定义说明, 更新模块图片

Datasheet [Page 1] 版本更新说明 日期版本更新内容 初始文档 更新方案 增加 DIP 引脚定义说明, 更新模块图片 产品手册 IOT GPRS 模块 版本 :1.0 日期 :2018-3-9 编号 :DS0017CN 概 要 特性 是四频段 GSM/GPRS 模块, 它的工作频段是 :GSM850MHz,GSM900MHz, DCS1800MHz 和 PCS1900MHz 支持 GPRS 多时隙等级 12 和 GPRS 编码格式 CS- 1,CS-2,CS-3 和 CS-4 包含 96MHz 的 Cortex-M3

More information

xxxx应用笔记

xxxx应用笔记 Track Number: ANxxxxCN MXCHIP Co., Ltd Version: 1.0 2017.11.13 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的

More information

IOT-MSP432_硬件手册

IOT-MSP432_硬件手册 User Manual 版本 :0.2 日期 :2018-4-9 TI Launchpad 物联网接入扩展板 产品简介 是庆科信息联合 TI 推出的 Launchpad 物联网接入扩展板, 可用于物联网 智能硬件的原型机开 发 开发套件提供一个开箱即用的智能硬件解决方案, 方便验证用户的软件和功能, 使产品可以快速 安全 地连接至云服务平台和手机端, 缩短研发周期, 迅速推向市场 版权声明 未经许可,

More information

xxxx应用笔记

xxxx应用笔记 Track Number: AN0067CN MXCHIP Co., Ltd Version: 1.2 2017.5.26 Category: Application Note Open EMW3080 客户设计注意事项 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避,

More information

xxxx数据手册

xxxx数据手册 产品手册 IoT Wi-Fi SOC 版本 :1.2 日期 :2017-11-16 编号 :DS0099CN 摘 要 特性 高度集成的 SoC 芯片 ARM 9,256KB SRAM 和 2MB Flash 支持 802.11b/g/n 无线局域网 高效率功率放大器 (PA) 丰富的 I/O 接口微处理器 (MCU) 内核 :ARM 9 主频 :120MHz 内存 256KB SRAM 2MB Flash

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

MiCOKit硬件手册

MiCOKit硬件手册 Track Number: MXCHIP Co., Ltd Version: 0.1 2017.8.14 Category: Reference Manual Open 摘要 (Abstract) 本文档主要描述 MiCOKit 系列开发套件的硬件组成及各功能模块电路原理图, 旨在为 MiCO-IoT 物联网开发者提供与硬件相关的技术参考 适用对象 (Suitable Readers) 本文适合 MiCOKit-3060

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

Datasheet [Page 1] 版权声明 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号 和图

Datasheet [Page 1] 版权声明 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号 和图 产品手册 IoT WiFi SOC 版本 :1.8 日期 :2018-7-27 编号 :DS0099CN 摘 MOC108 /MOC108A 是高度集成的 IoT WiFi SoC 芯片, 包含 MCU RAM Flash WiFi 和多种 IO 接口 微处理器 (MCU) 存储设备 接口 WiFi 内核 :ARM 9 主频 :120MHz 256KB SRAM 2MB Flash 2x UART

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

Microsoft Word - 39.doc

Microsoft Word - 39.doc 摘 基 于 ARM 的 嵌 入 式 无 线 AP 的 设 计 杨 健 陈 永 泰 ( 武 汉 理 工 大 学 信 息 工 程 学 院, 武 汉 430070) 要 : 本 文 首 先 介 绍 了 无 线 AP 的 基 本 原 理, 然 后 重 点 描 述 基 于 AT76C510 的 无 线 AP 的 硬 件 设 计 及 嵌 入 式 系 统 uclinux 最 后 对 IEEE802.11b 的 安

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

xxxx应用笔记

xxxx应用笔记 Track Number: MXCHIP Co., Ltd Version: 1.1 2017.9.11 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的 获取更多帮助

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Revisio Date Contents of Revision Change Remark /06/24 首次发布产品规格书 2014/06/ /09/09 更改规格书的模块图片 2014/09/ /12/17 添加 3.3V 的功耗 20

Revisio Date Contents of Revision Change Remark /06/24 首次发布产品规格书 2014/06/ /09/09 更改规格书的模块图片 2014/09/ /12/17 添加 3.3V 的功耗 20 SPECIFICATION IEEE 802.11 b/g/n 2.4GHz 1T1R WiFi with Bluetooth2.1 /3.0/4.0,with SDIO INTERFACE, and HS-UART MIXED INTERFACE RL-SM02BD (Realtek RTL8723BS) Combo Module Version 1.3 第 1 页共 1 页 Revisio Date

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

第十章 3G手机的电路原理分析及维修实例

第十章    3G手机的电路原理分析及维修实例 10 1 1 PAD PCBA MIC RF Power *#06# *#06# PE 100 PCS 1 2 10 2 1 2 1 PIN 10.2 1 1 10.2 1 10.1 1 10.2 2 10.2 2 OK 10.2 3 10.2 3 2 A 1 A ( ) 2 1PCS 1PCS A 10.2 4 2 10.2 4 OK 10.2 5 10.2 5 PIN 3 DOME 1 DOME

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

xxxx应用笔记

xxxx应用笔记 Track Number: AN00XXCN MXCHIP Co., Ltd Version: 1.0 2017.05.31 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的

More information

xxxx数据手册

xxxx数据手册 产品手册 嵌入式加密安全 Wi-Fi 模块 版本 :1.2 日期 :2017-04-21 编号 :DS0075CN 摘要 特性 高度集成的 SoC 芯片 支持多种低功耗模式 ARM Cortex-M4F MCU,256KB SRAM 和 512KB ROM 单流支持 802.11b/g/n 无线局域网 高效率功率放大器 (PA) Flash 控制器内嵌 32KB cache, 支持外部 SPI flash

More information

xxxx应用笔记

xxxx应用笔记 Track Number: AN0049CN MXCHIP Co., Ltd Version: 1.0 2017.8.10 Category: Application Note Open 摘要 (Abstract) 本文档列举了客户在使用 MXCHIP 模块设计产品过程中, 各个阶段需要注意的事项 请客户先熟悉本文档, 提前考虑在设计, 生产, 烧录固件, 测试阶段可能出现的问题并有效规避, 以达到快速量产的目的

More information

可复式保险丝 : KLM 系列 表面贴装低阻型 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 EIA 尺寸 :0805, 1206, 1210, 维持电流 : 0.75 to 7.5A 4. 占用空间小 5. 超低电阻 6. 快速动作 7. 工作 & 存储温度范

可复式保险丝 : KLM 系列 表面贴装低阻型 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 EIA 尺寸 :0805, 1206, 1210, 维持电流 : 0.75 to 7.5A 4. 占用空间小 5. 超低电阻 6. 快速动作 7. 工作 & 存储温度范 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 EIA 尺寸 :0805, 1206, 1210, 1812 3. 维持电流 : 0.75 to 7.5A 4. 占用空间小 5. 超低电阻 6. 快速动作 7. 工作 & 存储温度范围 :-40~+85 8. 安规认证 : UL / cul / TUV 用途 1. USB, HDMI, IEEE 1394 接口 2. 个人电脑 主板

More information

Catalogue of Polymer PTC Resettable Fuse

Catalogue of Polymer PTC Resettable Fuse 特点 1. 提供符合 RoHS 与无卤要求的系列产品 2. 标准的 IA 尺寸 :0603, 0805, 1206, 1812 3. 维持电流 :0.05~3A 4. 最大工作电压 :6V ( 计算机系统使用 ) 60V ( 电子设备使用 ) 5. 占用空间小 6. 快速动作 7. 工作 & 存储温度范围 :-40~+85 8. 安规认证 :UL/cUL/TUV 用途 1. USB HDMI I1394

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁 说 明 改 动 的 内 容 很 少, 且 都 是 不 怎 么 重 要 的, 因 此 无 需 过 多 纠 结, 大 家 看 完 后 一 目 了 然 第 6 章 排 序 1 增 加 了 :( 十 ) 外 部 排 序 第 一 部 分 : 数 据 结 构 2 后 面 的 修 改 :( 十 一 ) 各 种 内 部 排 序 算 法 的 比 较 ;( 十 二 ) 内 部 排 序 算 法 的 应 用 外 部 排 序

More information

Microsoft Word - RL-SM02BD-8723BS-V1.0 规格书.doc

Microsoft Word - RL-SM02BD-8723BS-V1.0 规格书.doc SPECIFICATION IEEE 802.11 B/G/N 2.4GHz 1T1R WiFi with Bluetooth2.1/3.0/4.0, and FM controller with SDIO interface, and HS-UART mixed interface NT-SM02BD-8723BS-12 WF+ BT+ FM Combo Module Version 1.0 PRODUCT

More information

Microsoft Word - RL-SM02BD-8723BS-V1.0 规格书.doc

Microsoft Word - RL-SM02BD-8723BS-V1.0 规格书.doc SPECIFICATION IEEE 802.11 b/g/n 2.4GHz 1T1R WiFi with Bluetooth2.1 /3.0/4.0,with SDIO INTERFACE, and HS-UART MIXED INTERFACE RL-SM02BD (Realtek RTL8723BS) Combo Module Version 1.0 第 1 页共 11 页 PRODUCT DESCRIPTION

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档 L6 LoRa TM 通信模块规格书 巧而美 唯匠心集成 智而快 享极速运行 版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使用许可,

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc AirTrack T600 http://www.netac.com.cn Netac Netac AirTrack OnlyDisk Netac Netac Netac http://www.netac.com.cn Netac 800-830-3662 FCC 15 B 1 2 3 4 / FCC 20cm 1 2 3 / / ...1 1.1...1 1.2...1 1.3...1 1.4...3...4

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

为 边 数 的 两 倍, 显 然 必 为 偶 数 而 ii 和 iii 则 不 一 定 正 确, 如 : 对 顶 点 数 N 1 无 向 完 全 图 不 存 在 一 个 顶 点 的 度 为 1, 并 且 边 数 与 顶 点 数 的 差 要 大 于 1 8. 考 查 m 阶 B- 树 的 定 义 A

为 边 数 的 两 倍, 显 然 必 为 偶 数 而 ii 和 iii 则 不 一 定 正 确, 如 : 对 顶 点 数 N 1 无 向 完 全 图 不 存 在 一 个 顶 点 的 度 为 1, 并 且 边 数 与 顶 点 数 的 差 要 大 于 1 8. 考 查 m 阶 B- 树 的 定 义 A 一 单 项 选 择 题 1. 考 查 栈 和 队 列 的 特 点 及 应 用 2009 年 全 国 硕 士 研 究 生 入 学 统 一 考 试 计 算 机 学 科 专 业 基 础 综 合 试 题 选 择 题 部 分 解 析 C 和 D 直 接 排 除, 缓 冲 区 的 特 点 需 要 先 进 先 出, 若 用 栈, 则 先 进 入 缓 冲 区 的 数 据 则 要 排 队 到 最 后 才 能 打 印,

More information

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32 2.5 208 www.espressif.com ESP32 ESP32 PCB ESP32 ESP32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2 2 2. 2 2.. 3 2... 3 2...2 4 2..2

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

B1B1z_ch_print.pdf

B1B1z_ch_print.pdf Since 1992 PLC B1/B1z www.fatek.com SoC PLC CPU (HLS) / FLASHSRAM BGA PLC SoC CPU I/O PCB SoC B1/ B1z PLC 01 SoC B1/B1z PLC PLC B1/B1z PLC B1/B1z PLC FBs PLC FBs PLC 02 03 04 AC 5 C 40 C 5 C 55 C -25 C

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

幻灯片 1

幻灯片 1 无线数字解决方案模块选型指南 Xbee 产品线是一系列模块化的产品使无线技术的部署更加方便和高性价比. 通用的 Xbee 封装内提供多种协议和不同无线射频通讯特点, 使客户享有按照他们需求充分选择最好技术的灵活性, 无需在多个供应商中选择. 无论你需要 ZigBee 或快速的多点解决方案,2.4 GHz 或长距离 900 MHz 我们的 Xbee 都可以满足你的特殊需要. 规格 * 网络特点 工作频率

More information

RT8011 WiFi 音频模组 产品规格书 V1.0 上海睿赛德电子科技有限公司 2015 年 09 月 23 日

RT8011 WiFi 音频模组 产品规格书 V1.0 上海睿赛德电子科技有限公司 2015 年 09 月 23 日 RT8011 WiFi 音频模组 产品规格书 V1.0 上海睿赛德电子科技有限公司 2015 年 09 月 23 日 1. 产品描述...3 1.1. 简介...3 1.2. 产品特点...3 1.3. 标准支持...3 1.4. 外设接口...4 1.5. 应用领域...4 2. 系统框图...5 2.1. 模组架构框图 ( 方案一 )...5 2.2. 模组架构框图 ( 方案二 )...6 3.

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

Microsoft Word - 150Mbps无线Wi-Fi模块NW3206产品规格书V_CN.doc

Microsoft Word - 150Mbps无线Wi-Fi模块NW3206产品规格书V_CN.doc 产品名称 产品版本 V100 密级 公开 共 10 页 V1.0 拟制 : JOHN 日期 : 2015-02-27 审核 : 日期 : 审核 : 日期 : 批准 : 日期 : 修订记录 日期 修订版本 描述 作者 2015-2-27 1.0 首次建立 John 深圳市灵卡视讯有限公司 版权所有 侵权必究 目 录 1. 产品描述... 4 2. 系统框图... 4 3. 主要产品功能特色... 4

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

《手机维修原理及维修实例详解》目录

《手机维修原理及维修实例详解》目录 1 IC MP3 MP4 2 CPU MP3 MP4 13M 1 LCD 2 13MHz 3 CONTACT SERVICE T SIM MP3 MP4 2 4 FLASH ROM EEPROM RAM SIM CPU CPU RST CPU FLASH Flash CE CS Flash DE WE RST Flash Flash FLASH EEPROM Phone Locked CON-TACTSERVICE

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请

安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请 1080p 方 形 网 络 摄 像 机 使 用 手 册 201301 800 A2 安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请 勿 将 摄 像 机

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

P.3 1.1 1.2 P.4-6 2.1 2.2 P.7-8 3.1 3.2 3.3 3.4 3.5 P.9-14 4.1 4.2 P.15-29 5.1 5.2 5.3 5.4 5.5 P.30-42 6.1 6.2 P.1

P.3 1.1 1.2 P.4-6 2.1 2.2 P.7-8 3.1 3.2 3.3 3.4 3.5 P.9-14 4.1 4.2 P.15-29 5.1 5.2 5.3 5.4 5.5 P.30-42 6.1 6.2 P.1 P.3 1.1 1.2 P.4-6 2.1 2.2 P.7-8 3.1 3.2 3.3 3.4 3.5 P.9-14 4.1 4.2 P.15-29 5.1 5.2 5.3 5.4 5.5 P.30-42 6.1 6.2 P.1 6.3 ( ) 6.4 ( ) 6.5 6.6 6.7 6.8 P.43 P.44-48 8.1 8.2 P.49 P.50 P.51 P.2 1.1 1.2 P.3 2.1

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

MENU SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC General Description Key Features Applications Key Para

MENU SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC General Description Key Features Applications Key Para SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC 1.1 General Description The SYD8821 is a low power and high performance 2.4GHz Bluetooth Low Energy SoC. SYD8821 integrates all Bluetooth smart devices

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

MiCOKit硬件手册

MiCOKit硬件手册 HED Documentation Working Group (HDWG) Track Number: Andy Liu MXCHIP Co., Ltd Version: 1.1 2017.6.6 Category: Reference Manual Open 摘要 (Abstract) 本文档主要描述 MiCOKit 系列开发套件的硬件组成及各功能模块电路原理图, 旨在为 MiCO-IoT 物联网开发者提供与硬件相关的技术参考

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

GS01W02E01-01ZH_007_CH.indd

GS01W02E01-01ZH_007_CH.indd > > General Specifications YFGW510 GS 01W02E01-01ZH GS (ISA) ISA100.11aISA100.11a (YFGW410) / (YFGW610) Duocast (ISA100.11a) ISA100.11aDuocastYFGW510 LAN (IEEE802.11a/b/g) LAN (2.4 GHz 5

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

2 1 安 全 資 訊 有 觸 電 的 危 險 請 勿 開 啟 : 為 了 減 低 觸 電 風 險, 切 勿 把 蓋 ( 或 背 板 ) 移 去 機 內 並 無 使 用 者 可 自 行 修 理 的 部 份 等 邊 三 角 形 內 含 有 一 個 箭 頭 的 閃 電 符 號 主 要 用 於 警 告 使

2 1 安 全 資 訊 有 觸 電 的 危 險 請 勿 開 啟 : 為 了 減 低 觸 電 風 險, 切 勿 把 蓋 ( 或 背 板 ) 移 去 機 內 並 無 使 用 者 可 自 行 修 理 的 部 份 等 邊 三 角 形 內 含 有 一 個 箭 頭 的 閃 電 符 號 主 要 用 於 警 告 使 繁 體 中 文 操 作 手 冊 MUSIC IN STYLE 底 座 連 動 音 響 使 用 這 套 裝 置 之 前, 請 仔 細 閱 讀 並 保 留 此 說 明 書, 方 便 日 後 參 考 ND5520 ND5520-FM.BTWNLL_TC.indd 1 12. 7. 11. 9:42 2 1 安 全 資 訊 有 觸 電 的 危 險 請 勿 開 啟 : 為 了 減 低 觸 電 風 險, 切 勿

More information

乐鑫智能互联平台:ESP8266

乐鑫智能互联平台:ESP8266 1 Page 乐鑫信息科技 2013 年 12 月 09 日 免 责 申 明 和 版 权 公 告 本 文 中 的 信 息, 包 括 供 参 考 的 URL 地 址, 如 有 变 更, 恕 不 另 行 通 知 文 档 按 现 状 提 供, 不 负 任 何 担 保 责 任, 包 括 对 适 销 性 适 用 于 特 定 用 途 或 非 侵 权 性 的 任 何 担 保, 和 任 何 提 案 规 格 或 样

More information

/ / 3 / Bose Corporation 32 F ~ 104 F (0 C ~ 40 C)

/ / 3 / Bose Corporation 32 F ~ 104 F (0 C ~ 40 C) SOUNDTOUCH AMPLIFIER SA-5 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. / 11. 12. / 3 / Bose Corporation 32 F ~ 104 F (0 C ~ 40 C) 2000 2 - FCC 15 B / Bose Corporation 5150 5250 MHz FCC 15 RSS (1) (2) FCC RF 20 cm RSS

More information

ESP-M1/M2数据手册

ESP-M1/M2数据手册 产品手册 ESP-M1/M2 深圳四博智联科技有限公司 版本 V1.1 2017 年 3 月 18 日编号 :DM0013CN 特点 SOC 特性 内置 Tensilica L106 超低功耗 32 位微处理器, 主频支持 80MHz 和 160MHz, 支持 RTOS 内置 TCP/IP 协议栈 内置 1 路 10 bit 精度 ADC 外设接口 HSPI UART I2C I2S IR Remote

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

/ 2-

/ 2- SOUNDTOUCH WIRELESS LINK 1. 2. 3. 4. 5. 6. 7. 8. 9. / 2- FCC 15 B / Bose Corporation FCC 15 RSS (1) (2) FCC 20 cm 5150-5250 Mhz W52/W53 Bose Corporation 2014/53/EU www.bose.com/compliance 2400 2480 MHz

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

untitled

untitled V1.1-1 - ...4...4...4...5...5 2.1.1...5 2.1.2...5 2.1.2.1...6 2.1.2.2...6 2.1.2.3...6 2.1.2.4...6 2.1.3...7 2.1.3.1...7 2.1.3.2...7 2.1.3.3...8 2.1.3.4...9 2.1.3.5...9 2.1.3.6...10 2.1.3.7...11 2.1.3.8...13

More information

常德市科技情报研究所

常德市科技情报研究所 (2016 年 第 1 期 ) 常 德 市 科 技 情 报 研 究 所 2016 年 1 月 目 录 科 技 管 理 与 创 新 1 全 面 深 化 改 革 谋 划 十 三 五 科 协 事 业 发 展 1 万 钢 : 新 型 国 家 科 技 计 划 管 理 体 系 已 初 步 建 立 3 中 国 创 新 发 展 报 告 (2015) 发 布 全 国 众 创 空 间 在 摸 索 中 发 展 4 高 新

More information

免责申明和版权公告 本文中的信息 包括供参考的 URL 地址 如有变更 恕不另行通知 文档 按现状 提供 不负任何担保责任 包括对适销性 适用于特定用途或非侵权性的任何担保 和 任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任 包括使用本文档内信息产生的侵犯 任何专利权行为的责任 本文档

免责申明和版权公告 本文中的信息 包括供参考的 URL 地址 如有变更 恕不另行通知 文档 按现状 提供 不负任何担保责任 包括对适销性 适用于特定用途或非侵权性的任何担保 和 任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任 包括使用本文档内信息产生的侵犯 任何专利权行为的责任 本文档 WT8266-S1 极致 / 开放 / 小巧 / 易用 规格书 版本 2.4 2016 年 1 月 22 日 免责申明和版权公告 本文中的信息 包括供参考的 URL 地址 如有变更 恕不另行通知 文档 按现状 提供 不负任何担保责任 包括对适销性 适用于特定用途或非侵权性的任何担保 和 任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任 包括使用本文档内信息产生的侵犯 任何专利权行为的责任

More information

目录 1. 产品介绍 概述 特征 产品框图 外观图 特性 管脚图 电气特性 硬件设计注意事项 应用框图 GPIO 介绍 LED 控制... 2.

目录 1. 产品介绍 概述 特征 产品框图 外观图 特性 管脚图 电气特性 硬件设计注意事项 应用框图 GPIO 介绍 LED 控制... 2. 产品规格书 串口 WiFi 模块 LCX801 (2.4GHz/150Mbps 802.11 b/g/n) 目录 1. 产品介绍... 1.1 概述... 1.2 特征... 1.3 产品框图... 1.4 外观图... 1.5 特性... 1.6 管脚图... 1.7 电气特性... 2. 硬件设计注意事项... 2.1 应用框图... 2.2 GPIO 介绍... 2.2.1 LED 控制...

More information

MT5V4Borchure.cdr

MT5V4Borchure.cdr EasyView 觸控科技 引領未來 MT 500 Professional Human Machine Interface Touch Screen for Industrial Applications ISO 9001:000 Offline Simulator EasyBuilder Online Simulator MT500 Online Simulator Direct Online

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

02 Keysight X8711A X8711A 30 TxRx PER 4.2 WLAN b/g/n X8711A 34972A 34999A KS83301A BLE 4.2 KS83302A WLAN b/g/n PC

02 Keysight X8711A X8711A 30 TxRx PER 4.2 WLAN b/g/n X8711A 34972A 34999A KS83301A BLE 4.2 KS83302A WLAN b/g/n PC X8711A 02 Keysight X8711A X8711A 30 TxRx PER 4.2 WLAN b/g/n X8711A 34972A 34999A KS83301A BLE 4.2 KS83302A WLAN b/g/n PC 03 Keysight X8711A Tx RSSI RSSI DUT PER 04 Keysight X8711A X8711A X8711A PER PER

More information

Motor Setup iphone [Motor Setup]

Motor Setup iphone [Motor Setup] AC MINAS Panasonic Motor Setup App Ver. 1.0 ios 7.09.3 AC MINAS [Panasonic Motor Setup App Ver.1.0]([Motor Setup]) (35 ) [iphone]([ios]) [iphone] USB [Windows],[PANATERM] [PANATERM] [ LAN DV0PM20105] https://industrial.panasonic.cn/ea/products/motors-compres

More information

修订历史版本 日期 原因 V /01/20 创建文档 V /03/14 正式版本 i

修订历史版本 日期 原因 V /01/20 创建文档 V /03/14 正式版本 i Data Sheet DS01010101 V1.00 Date: 2018/01/20 概述 是一款全新的高吞吐量的蓝牙 5 模块, 具有低成本 小尺寸和速率更高 功耗更低等优点 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产过程, 加快产品上市 满足快速开发需求, 减少软件投入, 缩短研发周期 该模块使用简单方便, 能迅速桥接电子产品和智能移动设备, 广泛应用于各种电子设备,

More information

第九章

第九章 2.5 Internet 3G 9.1 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 1 2 4 9 9.2 GSMCDMA3G 1G GSMTDMA 2G 2.5 CDMA 3G GSM GSM900MHz GSM1800MHz GSM1900MHz CDMA Code

More information

12LB3.mps

12LB3.mps 第 3 章 艺 产 品 学 习 目 标 艺, 简 称 为 THT 艺 (Through Hole Technology) 它 是 指 将 元 器 件 引 出 脚 入 印 制 路 板 相 应 安, 然 后 与 印 制 路 板 面 路 焊 盘 焊 固 定 一 种 联 艺 本 章 主 要 介 绍 程 图 辅 助 材 料 及 配 具 导 线 端 头 处 理 元 件 引 脚 成 形 和 THT 元 件 焊,

More information

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074>

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074> 射 频 与 数 模 混 合 类 高 速 PCB 设 计 课 题 内 容 理 清 功 能 方 框 图 网 表 导 入 PCB Layout 工 具 后 进 行 初 步 处 理 的 技 巧 射 频 PCB 布 局 与 数 模 混 合 类 PCB 布 局 无 线 终 端 PCB 常 用 HDI 工 艺 介 绍 信 号 完 整 性 (SI) 的 基 础 概 念 射 频 PCB 与 数 模 混 合 类 PCB

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information