B3B2B1B0 CA CB CC CD CE CF CG

Similar documents
B3B2B1B CA CB CC CD CE CF CG

untitled

a b c d e f g C2 C1 2

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)


VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

B 6 A A N A S A +V B B B +V 2

2/80 2

(Microsoft Word - 103\300\347\267~\266\265\245\330\245N\275X)


小班上学期课程

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

audiogram3 Owners Manual

mvc

ICD ICD ICD ICD ICD

目 录

epub83-1

KT-SOPCx开发套件简明教程

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

2_dvdr3380_97_CT_21221b.indd

untitled

南 京 信 息 工 程 大 学 滨 江 学 院 十 三 五 发 展 规 划 目 录 十 二 五 月 期 间 发 展 状 况 ( 一 ) 主 要 成 就 1. 社 会 声 誉 与 日 俱 增 2. 管 理 体 系 不 断 完 善 3. 师 资 队 伍 建 设 初 见 成 效 4. 专 业 建 设 进

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File


IC芯片自主创新设计实验

Guide to Install SATA Hard Disks

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

Microsoft Word - 第二次香港培?班新?稿_reduced_

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

EK-STM32F

冷熱衝擊試驗機、溫度控制器

51 C 51 isp 10 C PCB C C C C KEIL

(Microsoft PowerPoint - UML\302\262\244\266_use case.ppt)

AL-M200 Series

untitled

保母人員丙級應檢資料第二部份 doc

The golden pins of the PCI card can be oxidized after months or years

!""# $!""%!"&" #

麻 省 理 工 學 院 是 在 西 元 2013 年 12 月 3 日 推 出 MIT App Inventor 2 網 站, 提 供 免 費 的 雲 端 服 務, 使 用 者 可 以 透 過 瀏 覽 器 來 開 發 Android 裝 置 應 用 程 式, 該 網 站 的 網 址 為 : http

enews174_2

混訊設計流程_04.PDF

ARM JTAG实时仿真器安装使用指南

CC213

f2.eps

标题,黑体18号

Microsoft Word - HSK使用手册.doc

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2

ebook140-9

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Microsoft Word - 鄂卫办函[2009]64号.doc

全宋词1

& ((& ) ((

ebook140-8

PowerPoint Presentation

68369 (ppp quickstart guide)

Microsoft Word - 3D手册2.doc

untitled

《美国名将全传——德怀特·戴维·艾森豪威尔》

TX-NR3030_BAS_Cs_ indd

j_xilinx-training-courses_2012.pdf

Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Microsoft Win

Windows XP

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8

CDMA扫频仪测试说明

IP505SM_manual_cn.doc

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM

Microsoft Word - 三峽鎮衛生所_3_-張家宸.李永繁.doc

Microsoft Word - 武漢大學交流營心得_黃莉云_.doc

K7VT2_QIG_v3

Microsoft Word - template.doc

HLA-B27軟體

信息


untitled

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft PowerPoint - Lecture7II.ppt

QNAP Storage Product Spare Part Price List (Enterprise/SMB) -- Feburary 2011 Segment Model Name Base Assem Base Assem Screw Pack (2.5") Screw Pack (2.

1.ai

untitled

A Preliminary Implementation of Linux Kernel Virus and Process Hiding

言1.PDF

基于ECO的UML模型驱动的数据库应用开发1.doc

PIC16F F MPLAB 08 16F LED 15 LED

Windows 2000 Server for T100

第 十 明 會 教 始 終 第 一 明 依 教 分 宗 者 夫 如 來 成 道 體 應 真 源 理 事 二 門 一 多 相 徹 智 境 圓 寂 何 法 不 周 只 為 器 有 差 殊 軌 儀 各 異 始 終 漸 頓 隨 根 不 同 設 法 應 宜 大 小 全 別 時 分 因 果 延 促 不 同 化

ansoft_setup21.doc

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與

Microsoft Word 養生與保健_中山大學_講義


萬里社區老人健康照護手冊

Microsoft Word - 強制汽車責任保險承保及理賠作業處理辦法 doc

Microsoft Word - 06.Understanding of Pregnancy and Birth.doc

(➂)11. 炎 炎 夏 日, 即 使 下 起 滂 沱 大 雨, 都 消 除 不 了 令 人 心 煩 的 暑 氣 這 句 話 主 要 想 表 達 什 麼? ➀ 夏 日 裡 經 常 下 著 滂 沱 大 雨, 令 人 心 煩 ➁ 下 著 滂 沱 大 雨 的 日 子, 可 以 消 除 暑 氣 ➂ 夏 日

範本檔

附 件 一 : 办 理 集 中 式 银 期 转 账 业 务 网 点 名 单 序 号 地 区 网 点 名 称 地 址 联 系 人 电 话 23 工 商 银 行 安 徽 省 铜 陵 百 大 支 行 铜 陵 市 长 江 东 路 50 号 鲁 桂 珍 工 商 银 行 安 徽

2. 二 年 級 吳 毓 秀 老 師 : 感 謝 午 餐 公 司 平 時 均 能 準 時 送 餐, 但 希 望 能 不 要 使 用 加 工 品, 且 學 生 反 映 希 望 能 多 加 蛋 品 的 食 物 3. 三 年 級 柯 阿 青 老 師 : 雞 肉 有 血 水 味, 請 午 餐 公 司 能 調

高雄市立五福國民中學九十四學年度第一學期第三次段考二年級本國語文學習領域試題卷

Transcription:

Lab7:7 段顯示器控制電路 [ 實驗說明 ] : 這一個範例將分成兩階段 首先使用 ISE12.4 先進行叫用 Seven_Segmenet.vhd 模組建立 4bit HEX 轉換 7 段顯示器控制電路練習 接著再使用 Lab1 所設計的 2:4 解碼器與 Lab4 四位元加法器電路利用 Schematic 階層式設計快速建立加法器和輸出到 7 段顯示器的控制電路 你將開啟一個 Seven_Segmenet_Adder.sch 模組 ( 叫用 Seven_Segmenet.vhd decoder2to4.sch and Adder4bits.sch) 設計一個 HEX-to-seven-segment decoder 並將 Adder4bits 資料透過 decoder 顯示到七節燈管上 開啟此專案所需的 Test Bench(.tbw) 檔案, 並且利用 ISE12.4 的軟體完成整個設計流程, 同時利用 ISE12.4 的模擬功能來驗證結果的正確性! 此設計同時利用 Seven_Segment_Adder.ucf 來設定 I/O 腳的位置, 利用 Digilent Adept 軟體來燒錄到 FPGA 模擬板中, 驗證其設計結果是否正確 [ 實驗目地 ] : 當你完成整個專案之後, 你將學會以下的功能 (1). 利用 ISE12.4 的軟體開啟一個 Spartan3E FPGA 的專案. (2) 利用 Schematic 快速建立 7 段顯示器控制模組電路 (3). 使用電路元件來進行階層式模組化設計 (4). 產生測試型樣 Test Bench(.tbw) 來模擬你的設計. (5). 加入系統所需的 Constraints 檔案.(UCF file) (6). 完成整個設計流程. 並產生 Seven_Segmenet_Adder.bit 檔案 (7). 利用 FPGA Editor 來察看 FPGA 內部的結果, (8). 利用 Digilent Adept 來燒錄 Seven_Segmenet_Adder.bit 檔案到 FPGA [ 系統設計說明 ] --HEX-to-seven-segment decoder -- HEX: in, (HEX3 HEX2 HEX1 HEX0) -- Segment_out: out (CA CB CG); -- Enable: AN0 AN1 AN2 AN3(SWEEP) Binary(B3B2B1B0) 7Seg Display(Seg1) 共陽極 Seg1 need CA CB CC CD CE CF CG

B3B2B1B0 CA CB CC CD CE CF CG 0000 0 0 0 0 0 0 1 0001 1 0 0 1 1 1 1 0010 0 0 1 0 0 1 0 0 0 1 1 0 0 0 0 1 1 0 0100 1 0 0 1 1 0 0 0101 0 1 0 0 1 0 0 0 1 1 0 0 1 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1000 0 0 0 0 0 0 0 1001 0 0 0 0 1 0 0 1010 0 0 0 1 0 0 0 1 0 1 1 1 1 0 0 0 0 0 1 1 0 0 0 1 1 0 0 0 1 1 1 0 1 1 0 0 0 0 1 0 1 1 1 0 0 1 1 0 0 0 0 1 1 1 1 0 1 1 1 0 0 0 CA=IN1+IN4+IN11+IN13 CB=IN5+IN6+IN11+IN12+IN14+IN15 CC=IN2+IN12+IN14+IN15 CD= IN1+IN4+IN7+IN10+IN15 CE= IN1+IN3+IN4+IN5+IN7+IN9 CF= IN1+IN2+IN3+IN7+IN13 CG= IN0+IN1+IN7+IN12 IN1=0001, IN2=0010 以上使用 Schematic 非常複雜 S1 S0 AN0 AN1 AN2 AN3 7Seg 0 0 0 1 1 1 1 0 1 1 0 1 1 2 1 0 1 1 0 1 3 1 1 1 1 1 0 4 AN0=S1+S0=(S1 S0 ), AN1=S1+S0, AN2=S1 +S0, AN3=S1 +S0 =>Lab1:decoder2to4 (enable: E=0)

使用階層式設計叫用 Seven_Ssegment.vhd 模組, 可減少設計複雜度 [Seven_Segmenet.vhd] library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. entity Seven_Ssegment is Port ( HEX : in std_logic_vector(3 downto 0); Segment_out : out STD_LOGIC_VECTOR (6 downto 0) ); end Seven_Ssegment; architecture Behavioral of Seven_Ssegment is begin with HEX SELect Segment_out<= "1111001" when "0001", --1 "0100100" when "0010", --2 "0110000" when "0011", --3 "0011001" when "0100", --4 "0010010" when "0101", --5 "0000010" when "0110", --6 "1111000" when "0111", --7 "0000000" when "1000", --8 "0010000" when "1001", --9 "0001000" when "1010", --A "0000011" when "1011", --b "1000110" when "1100", --C "0100001" when "1101", --d "0000110" when "1110", --E "0001110" when "1111", --F "1000000" when others; --0 end Behavioral;

[ 操作步驟 ]: (1) 開啟 ISE12.4 軟體, 開啟一個新專案 : [File] [New Project]->Lab7 (C:\Xilinx\projectB\Lab7) (1) -2. 設定硬體元件的參數 [ 元件系列 (Device Family)]:Spartan3E ( 請參考 Basys2_rm.pdf) [ 元件名稱 (Device)] : Spartan3E-100E ( 請參考 Basys2_rm.pdf) [ 元件包裝 (Package)]:CP 132( 請參考 Basys2_rm.pdf) [ 元件速度等級 (Speed)]: -5 ( FPGA 速度等級 ) [ 合成器工具 (Synthesis Tool)]:XST VHDL(VHDL 合成器的種類 ) [ 模擬器 (Simulator)] : ISE Simulator(ISE12.4 內建的模擬器 ) [ 產生模擬器的語言 (Generated Simulation Language)] : VHDL

(1)-3. 出現專案所有設定訊息, 若需重新設定, 則可 [back]( 上一步 ) 若無誤, 則按 [Finish]( 完成 ) (2)Design Entry: 加入新設計檔案 : [Project] [New Source]-> Schematic ->File name: Seven_Segment_Test [Save] Seven_Segment_Test.sch 加入 Seven_Ssegment VHDL Source: [Project] [Add Copy of Source]-> Seven_Segment.vhd (From 網路大學 copy to 桌面 Seven_Ssegment_vhd.txt Seven_Ssegment.vhd)

(3)Synthesis & Implement Design: [Process]->(double click) Implement Design<Two green Check>

(4)Simulation( 模擬 ) with Testbench Testbench :[Project] [New Source] [VHDL Test Bench] Filename= Seven_Segment_Test_tb [Select Source] Seven_Segment_Test

PROCESS BEGIN HEX(0)<='0'; WAIT for 50ns; HEX(0)<='1'; WAIT for 50ns; END PROCESS; PROCESS BEGIN HEX(1)<='0'; WAIT for 100ns; HEX(1)<='1'; WAIT for 100ns; END PROCESS; PROCESS BEGIN HEX(2)<='0'; WAIT for 200ns; HEX(2)<='1'; WAIT for 200ns; END PROCESS; PROCESS BEGIN HEX(3)<='0'; WAIT for 400ns; HEX(3)<='1'; WAIT for 400ns; END PROCESS; (4)-2. Simulation: [Design]->view: Simulation [ISim Simulator] (double click) [Behavioral Check Syntax]-> (double click) [Simulate Behavioral Model]->Zoom to Full View->Check is O.K.? 0 1 2 6 8

(5) 設定 I/O 腳位置, 利用 Seven_Segment.UCF 來設定 I/O 腳的位置, 以得到正確輸出檔案. [Project] [New Source]-> [Implementation Constraints File] ->File Name: Seven_Segment_Test (.ucf) -> Edit ->[Save] -> Generate Programming File NET "HEX<3>" LOC = "B4"; # Bank = 3, Signal name = SW3 NET "HEX<2>" LOC = "K3"; # Bank = 3, Signal name = SW2 NET "HEX<1>" LOC = "L3"; # Bank = 3, Signal name = SW1 NET "HEX<0>" LOC = "P11"; # Bank = 2, Signal name = SW0 NET "Segment_out<0>" LOC = "L14"; # Bank = 1, Signal name = CA NET "Segment_out<1>" LOC = "H12"; # Bank = 1, Signal name = CB NET "Segment_out<2>" LOC = "N14"; # Bank = 1, Signal name = CC NET "Segment_out<3>" LOC = "N11"; # Bank = 2, Signal name = CD NET "Segment_out<4>" LOC = "P12"; # Bank = 2, Signal name = CE NET "Segment_out<5>" LOC = "L13"; # Bank = 1, Signal name = CF NET "Segment_out<6>" LOC = "M12"; # Bank = 1, Signal name = CG NET "AN3" LOC = "K14"; # Bank = 1, Signal name = AN3 NET "AN2" LOC = "M13"; # Bank = 1, Signal name = AN2 NET "AN1" LOC = "J12"; # Bank = 1, Signal name = AN1 NET "AN0" LOC = "F12"; # Bank = 1, Signal name = AN0

(6) 下載與驗證 : 連接實驗板 (Digilent USB Cable)-> 連接到 USB -> 發展板 switch on-> [Start]->digilent->Adept->Program-> 實驗板操作驗證 (Seven_Segment_Test.bit)

Lab7_2:7 段顯示器控制電路 +2:4 解碼器與四位元加法器電路 (Add copy of source) Design Entry: 加入新設計檔案 : [Project] [New Source]-> Schematic ->File name: Seven_Segment_Adder [Save]

Add copy of source

Create Schematic Symbols: decoder2to4 Adder4bits Seven_Segment Seven_Segment_Adder->Set as Top Module->Symbols 引用元件

Seven_Segment_Adder.ucf NET "B3" LOC = "N3"; # Signal name = SW7 NET "B2" LOC = "E2"; # Signal name = SW6 NET "B1" LOC = "F3"; # Signal name = SW5 NET "B0" LOC = "G3"; # Signal name = SW4 NET "A3" LOC = "B4"; # Signal name = SW3 NET "A2" LOC = "K3"; # Signal name = SW2 NET "A1" LOC = "L3"; # Signal name = SW1 NET "A0" LOC = "P11"; # Signal name = SW0 NET "P0" LOC = "G12"; # Signal name = BTN0 NET "P1" LOC = "C11"; # Signal name = BTN1

NET "Segment_out<0>" LOC = "L14"; # Bank = 1, Signal name = CA NET "Segment_out<1>" LOC = "H12"; # Bank = 1, Signal name = CB NET "Segment_out<2>" LOC = "N14"; # Bank = 1, Signal name = CC NET "Segment_out<3>" LOC = "N11"; # Bank = 2, Signal name = CD NET "Segment_out<4>" LOC = "P12"; # Bank = 2, Signal name = CE NET "Segment_out<5>" LOC = "L13"; # Bank = 1, Signal name = CF NET "Segment_out<6>" LOC = "M12"; # Bank = 1, Signal name = CG NET "AN0" LOC = "F12"; # Bank = 1, Signal name = AN0 NET "AN1" LOC = "J12"; # Bank = 1, Signal name = AN1 NET "AN2" LOC = "M13"; # Bank = 1, Signal name = AN2 NET "AN3" LOC = "K14"; # Bank = 1, Signal name = AN3 NET "LD0" LOC = "M5" ; # Bank = 2, Signal name = LD0 (6) 下載與驗證 : 連接實驗板 (Digilent USB Cable)-> 連接到 USB -> 發展板 switch on-> [Start]->digilent->Adept->Program-> 實驗板操作驗證 (Adder4bit_Seven.bit)