(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)



Similar documents
B 6 A A N A S A +V B B B +V 2

a b c d e f g C2 C1 2

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

IC芯片自主创新设计实验

./

工银瑞信精选平衡混合型证券投资基金2008年度第2季度报告

gongGaoMingCheng

证券投资基金信息披露XBRL标引规范第2号<半年度报告摘要>

抗日战争大事记(校)

工银瑞信精选平衡混合型证券投资基金2008年度第2季度报告

gongGaoMingCheng

1 重 要 提 示 基 金 管 理 人 的 董 事 会 及 董 事 保 证 本 报 告 所 载 资 料 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 内 容 的 真 实 性 准 确 性 和 完 整 性 承 担 个 别 及 连 带 责 任 基 金 托 管 人 平 安

<4D F736F F D20BECDD2B5D6CAC1BFC4EAB6C8B1A8B8E6B6A8B8E5>

<4D F736F F D20AE67BD62B6A4C1FAB0EAB2BEA661B056BD6DAAF0B0EAB3F8A7695F30372E31302E31365F2E646F63>

untitled

⊙内容:常用逻辑电路设计

永宁县人民政府文件

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

gongGaoMingCheng

公司预计2010年日常关联交易的议案

Untitled

控 制 评 价 结 果 推 测 未 来 内 部 控 制 的 有 效 性 具 有 一 定 的 风 险 二 内 部 控 制 评 价 结 论 根 据 公 司 财 务 报 告 内 部 控 制 重 大 缺 陷 的 认 定 情 况, 于 内 部 控 制 评 价 报 告 基 准 日, 不 存 在 财 务 报 告

恩 典 1 * 课 堂 环 节 持 续 时 间 活 动 所 需 材 料 欢 迎 在 门 口 欢 迎 学 生 ; 倾 听 他 们 的 快 乐 或 烦 恼 预 备 活 动 <10 分 钟 A. 顺 境 或 逆 境 B. 平 衡 书 本 赞 美 和 祈 祷 <10 分 钟 课 堂 教 学 概

目 录

团 契 课 堂 教 学 概 览 课 堂 环 节 持 续 时 间 活 动 所 需 材 料 欢 迎 在 门 口 欢 迎 学 生, 聆 听 他 们 分 享 本 周 开 心 或 烦 恼 的 事 -- 无 预 备 活 动 <10 分 钟 A 味 觉 检 测 赞 美 和 祈 祷 <10 分 钟

服 侍 课 堂 教 学 概 览 课 堂 环 节 持 续 时 间 活 动 所 需 材 料 欢 迎 预 备 活 动 赞 美 祈 祷 圣 经 课 程 <10 分 钟 <10 分 钟 <20 分 钟 在 门 口 欢 迎 学 生, 听 他 们 分 享 开 心 或 不 如 意 的 事 A 时 间 表 B 偶 像

团 契 课 堂 教 学 概 览 课 堂 环 节 持 续 时 间 活 动 所 需 材 料 欢 迎 在 门 口 欢 迎 学 生, 听 他 们 分 享 开 心 或 不 如 意 的 事 A. 种 子 发 芽 无 使 用 上 星 期 的 物 品 1 预 备 活 动 <10 分 钟 B. 种 子 C. 生 长

评 估 内 容 与 内 涵 评 估 方 式 评 2.2 管 理 制 度 (10 ) 重 点 制 度 落 实 情 况 4 院 级 和 职 能 部 门 有 明 确 的 会 议 制 度 培 训 制 度 质 量 评 价 制 度 师 资 培 训 制 度 评 价 体 系 等, 并 有 实 施 办 法

评 标 准 扣.4 全 科 医 学 科.4. 建 立 全 科 医 学 科 作 为 培 训 基 地 的 综 合 医 院 独 立 设 置 全 科 医 学 科, 牵 头 承 担 全 科 住 培, 与 相 关 临 床 轮 转 科 室 密 切 协 同, 指 导 帮 助 基 层 实 践 基 地 加 强 带 教

恩 典 课 堂 教 学 概 览 1 * 欢 迎 课 堂 环 节 持 续 时 间 活 动 所 需 材 料 在 门 口 欢 迎 孩 子 们, 聆 听 他 们 开 心 或 烦 恼 的 事 情 预 备 活 动 <10 分 钟 A. 婴 孩 时 间 赞 美 和 祈 祷 <10 分 钟 B. 耶 稣

Microsoft Word - FINAL CHINESE VER- MOH OOB CODE OF PROFESSIONAL CONDUCT _AMENDED VERSION II_ edited

第 八 条 凡 在 考 评 过 程 中 提 供 虚 假 信 息 的, 一 经 查 实, 视 情 节 轻 重, 扣 除 该 实 验 室 5~10 分, 并 通 报 批 评 第 九 条 文 科 学 院 没 有 实 验 室 的, 其 学 院 年 度 工 作 目 标 管 理 考 核 中 实 验 室 工 作


窑 缘 愿 窑 意 义 重 大 袁 与 之 相 关 的 表 观 遗 传 学 研 究 主 要 来 自 动 物 实 验 遥 有 学 者 发 现 母 鼠 对 幼 仔 的 舔 舐 和 理 毛 渊 造 蚤 糟 噪 蚤 灶 早 葬 灶 凿 早 则 燥 燥 皂 蚤 灶 早 袁 蕴 郧 冤 及 弓 背 看 护 行

Microsoft Word - 國文.doc

第一章

第 一 节 认 识 自 我 的 意 义 一 个 人 只 有 认 识 自 我, 才 能 够 正 确 地 认 识 到 自 己 的 优 劣 势, 找 出 自 己 的 职 业 亮 点, 为 自 己 的 顺 利 求 职 推 波 助 澜 ; 一 个 人 只 有 认 识 自 我, 才 能 在 求 职 中 保 持

. "#

教 务 信 息 教 学 日 常 运 行 工 作 4 月 6 日, 教 务 部 高 丽 琴 老 师 参 加 南 昌 市 教 育 局 2016 年 中 小 学 教 师 资 格 认 定 工 作 培 训 会 2016 年 教 师 资 格 认 定 工 作 是 从 省 考 向 国 考 过 渡 后 的 第 一 次

计算机网络与经济(二).doc

Microsoft PowerPoint ?????????3 [Compatibility Mode]

派遣公司人力資源管理措施對派遣人員離職傾向之影響:

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢?

2007年年度报告.doc


KT-SOPCx开发套件简明教程

勞動條件檢查執行重點(雲林)_ [相容模式]

醋 水 法 在 水 盆 內 放 入 約 七 分 滿 的 水 與 1/2 到 1 小 杯 的 醋 量, 將 髒 襪 子 浸 泡 一 晚, 隔 天 再 丟 入 洗 衣 機, 就 能 洗 得 相 當 乾 淨 醋 有 殺 菌 除 臭 和 漂 白 功 效, 使 用 過 的 醋 水, 還 可 清 理 地 板,

穨 PDF

Microsoft Word - 完全手冊-課程.doc

第一冊 第四章 分裂與再統一 班級 座號 姓吊

untitled


2 时序电路的 VHDL 描述 例 2.1 D 触发器的 VHDL 描述最简单 最具有代表性的时序电路是 D 触发器 对 D 触发器的描述包含了 VHDL 对时序电路的最基本和典型的表达方式, 也包含了 VHDL 中许多最具特色的语言现象 D DFF1 Q CLK ( 实体 -Entity)? 电路

邏輯分析儀的概念與原理-展示版

77 Q84 30 Q Q84 Q Q84 48 Q84 Q ?? Q84?? ?????? 新 闻?

第 26 期 學 員 上 育 嬰 師 培 訓 課 程, 讓 我 充 實 了 自 我, 又 豐 富 了 許 多 育 兒 知 識! 每 一 個 單 元 的 課, 老 師 都 很 認 真 地 教 學, 老 師 還 會 舉 例 一 些 現 實 生 活 的 例 子, 以 及 影 片 跟 我 們 分 享, 讓

gongGaoMingCheng

!

!

!

!

70-2 -

!

!

李跃儿《谁拿走了孩子的幸福》

Microsoft Word - 變形記

gongGaoMingCheng

gongGaoMingCheng

1 重 要 提 示 基 金 管 理 人 的 董 事 会 及 董 事 保 证 本 报 告 所 载 资 料 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 内 容 的 真 实 性 准 确 性 和 完 整 性 承 担 个 别 及 连 带 责 任 基 金 托 管 人 中 国

Microsoft Word - [术数]《八卦象数与疾病预测》黄鉴.doc

1 重 要 提 示 基 金 管 理 人 的 董 事 会 及 董 事 保 证 本 报 告 所 载 资 料 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 内 容 的 真 实 性 准 确 性 和 完 整 性 承 担 个 别 及 连 带 责 任 基 金 托 管 人 中 国

(1) (20) (27) (31) (39) (45) (57) (62) (71) (77) (84) (96) (104) (106) (140) (145) (147) (150) (155) (171) (174) (180)

gongGaoMingCheng

gongGaoMingCheng

Review

第 一 章 : 從 中 共 解 放 軍 投 奔 藏 軍 棄 家 從 軍 我 原 名 姜 華 亭, 藏 名 羅 桑 扎 西, 家 在 中 國 山 東 省 萊 陽 縣 九 區 孟 格 莊 村, 父 親 叫 姜 昆, 母 親 叫 李 秀 芳 家 中 以 務 農 為 業 解 放 前 後, 父 親 曾 在 三

1 重 要 提 示 基 金 管 理 人 的 董 事 会 及 董 事 保 证 本 报 告 所 载 资 料 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 内 容 的 真 实 性 准 确 性 和 完 整 性 承 担 个 别 及 连 带 责 任 基 金 托 管 人 中 国

untitled

中 国 证 券 监 督 管 理 委 员 会 : 2016 年 3 月 24 日, 海 南 海 航 基 础 设 施 投 资 集 团 股 份 有 限 公 司 ( 以 下 简 称 海 航 基 础 公 司 本 公 司 或 上 市 公 司 ) 收 到 中 国 证 券 监 督 管 理 委 员 会 ( 以 下 简

<4D F736F F D20B4F3B3C9B4B4D0C2B3C9B3A4BBECBACFD0CDD6A4C8AFCDB6D7CABBF9BDF0A3A84C4F46A3A C4EAB5DA31BCBEB6C8B1A8B8E62E646F63>

构 建 生 态 养 生 和 大 健 康 两 个 新 兴 业 态 ; 发 展 电 商 " 的 "3221" 发 展 战 略, 确 保 公 司 良 性 健 康 发 展 上 市 以 来, 公 司 秉 承 以 人 为 本 求 实 创 新 服 务 社 会 厚 报 股 东 的 经 营 理 念, 发 扬 团 结


Microsoft Word - 成长的痕迹散文集.docx

gongGaoMingCheng

Microsoft Word - 席慕容散文集.doc

工银瑞信精选平衡混合型证券投资基金2008年度第2季度报告

1 重要提示

<4D F736F F D20B1A6D3AFD6D0D6A D6B8CAFDD4F6C7BFD0CDD6A4C8AFCDB6D7CABBF9BDF C4EAB5DA32BCBEB6C8B1A8B8E62E646F63>

gongGaoMingCheng

<4D F736F F D20D6D0B9FABDDAC8D5CEC4BBAF2DB5BECCEF2E646F63>

試分析絲綢之路自漢至宋元對中國文化體系的影響

2010.3期.FIT)

七 以 自 然 風 光 為 紋 飾 第 六 章 中 國 歷 代 民 間 藏 瓷 鑒 定 術 語 第 七 章 中 國 古 瓷 文 獻 選 一 窯 器 說 ( 清 ) 程 哲 著 二 景 德 鎮 陶 歌 序 言 我 與 春 恩 先 生 相 識 經 年, 且 為 同 好, 瓷 道 摯 友 春 恩 為 人

gongGaoMingCheng

gongGaoMingCheng

小 女 孩 跟 着 派 洛 斯 一 起 进 来, 羞 怯 一 如 往 常 在 她 身 后 拖 步 轻 跳 古 怪 横 行 的, 则 是 她 的 弄 臣 他 戴 着 一 顶 老 旧 锡 桶 做 的 玩 具 头 盔, 顶 端 捆 了 两 根 鹿 角, 上 面 挂 着 牛 铃, 随 着 他 的 蹒 跚 脚

第 期 熊 安 萍 等 *1$ 文 件 系 统 中 范 围 锁 机 制 的 应 用 研 究! 锁 命 名 空 间 '+'($($ 描 述 了 资 源 和 锁 的 集 合 它 同 时 在 客 户 节 点 和 服 务 节 点 存 在 不 同 之 处 只 是 利 用 一 个 数 据 标 识 来 有 效 区

Transcription:

VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044

敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants: 的 玩 及 家 目 前 矩 所 陣 欲 則 賭 秀 的 出 點 色 數 彩, 繽 可 紛 以 的 使 跑 用 馬 指 燈 撥 開 七 關 段 決 顯 定 示 器 共 分 為 兩 個 部 份, 左 邊 為 Usage: 數 子 脈 波 的 按 點 鍵 數 合, 可, 以 若 使 任 骰 何 子 骰 器 子 改 尚 變 未 狀 停 態 止, 第 點 一 數 次 隨 以 著 及 骰 第 子 二,LED 8*8 (1 to 12), 高 次 速, 右 跳 分 邊 動 別 則 讓 為 按 兩 目 下 顆 前 右 骰 兩 下 子 個 示 停 YA, 下, 否 七 則 段 顯 示 中 器 文 顯 字 示 總 和,, 最 第 後 三 一 次 次 則 則 讓 機 器 判 定 其 吻 合 與 否, 是 則 矩 陣 方 亂 顯 Reset, 讓 骰 子 器 重 新 啟 動 藉 數 時 此 脈 則 由 器 項 是 時 值 目 抓 脈 為 取 更 亂 本 計 新 作 數 頻 品 器, 率 的 不 使 核 同 用 高 心 位 於, 置 骰 人 利 位 子 類 用 元 器 反 時 應 ;, 脈 時 矩 間 陣 為 控 特 制 點 更 動 以 新 的 及 頻 定 規 七 率 義 律 段 的 由, 顯 方 特 做 示 法 定 一 器 時 個 解 間 時 碼 所 脈 器 抓 計 輸 出 數 入 的 器 計 Techniques: 1. 容 用 彈 脈 易 就 跳 波 被 非 消 按 誤 常 除 鍵 判 重 內 為 要 的 超 鐵 過 片 一, 次 會 以 在 上 按 的 下 動 及 作 放 開, 的 所 瞬 以 間 使, 用 產 此 生 類 不 按 可 鍵 預 時 期, 的 除 跳 頻 動 電 雜 路 訊 的 利, 2. 為 跳 1, 0 以 器 上 為 為 零 我 時 們 所 取 使 值 用 正 消 不 相 除 會 關 雜 於 訊 人 的 類 邏 按 作 輯 按 反 圖 鍵 應 的, 其 速 即 度 可, 達 課 到 本 除 建 頻 如 議 右 約 需 : 求 在 計 時 True Table 這 的 裡 測 感 試 作 驗 品 完 全 完 達 成 到 之 我 日 們 讓 預 大 期 家 感 需 到 求 欣 慰 每 一 有 項 些 所 地 需 方 的 遇 輸 到 入 陌 生 的 輸 出 都 經 過 獨 立,Output 事 謝 實 上 學 長, 我 姊 們 的 仍 大 然 力 需 相 要 助 一 再, 次 接 這 的 再 的 作 厲 作 品 品, 將 才 繼 全 能 續 力 順 摸 投 利 索 入 地 高 此 如 難 類 期 度 硬 完 的 件 成 硬 的 件 設, Techniques, 計 如, 向 高 難 度 在 戰 挑 Clock 的 Review: 本 LCD 以 對 Input 及 Number Pad, 下 為 100Hz(10ms), Clock Input Output 0 X 0 1 0 0 1 1 1 :Buzzer

按 七 鈕 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; 指 段 撥 解 顯 示 ENTITY project is 點 開 碼 矩 關 器 陣 接 賭 接 到 幾 到 硬 點 硬 體 用 體 的 用 PORT( CLK : IN STD_LOGIC; Clock eletronic stream PS : IN STD_LOGIC; SEG : OUT STD_LOGIC_VECTOR(0 TO 7); COM0 : OUT STD_LOGIC_VECTOR(0 DOWNTO 1); LED'com( ) LED1,LED2 : OUT STD_LOGIC_VECTOR(0 TO 6); LED x 2 EDN0 : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); 3x8 ( ) SSW : IN STD_LOGIC_VECTOR(0 TO 11); ROW,CR,CG : OUT STD_LOGIC_VECTOR(1 TO 8) 8x8 LED 時 切 脈 ); 記 切 割 時 脈 用 END project; 消 割 錄 解 跑 按 碼 馬 幾 器 燈 次 內 內 時 的 部 部 脈 暫 暫 存 存 變 變 數 數 ARCHITECTURE a OF project IS SIGNAL QN :STD_LOGIC_VECTOR(26 DOWNTO 0); SIGNAL SET1,SET2 :STD_LOGIC_VECTOR(2 DOWNTO 0); LED 除 彈 跳 用 SIGNAL SET3 :STD_LOGIC_VECTOR(3 DOWNTO 0); 檢 查 點 輸 矩 贏 陣 暫 存 共 內 用 部 時 掃 暫 脈 描 存 掃 變 描 SIGNAL EDN :STD_LOGIC_VECTOR(2 DOWNTO 0); 3x8 ( ) SIGNAL COM :STD_LOGIC_VECTOR(1 DOWNTO 0); LED'com( ) SIGNAL PSS :STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL D0,D1,S,R,Q,NQ,X: STD_LOGIC; SIGNAL ROWW :STD_LOGIC_VECTOR(2 取 樣 除 頻 控 制 存 的 值 DOWNTO 0); 8x8 LED SIGNAL CRR,CGG :STD_LOGIC_VECTOR(1 TO 8); 8x8 LED( SIGNAL CCK :STD_LOGIC_VECTOR(2 DOWNTO 0); 8x8 LED'clo SIGNAL Y :STD_LOGIC; SIGNAL CHK1,CHK2 :STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL SET4,SET5 :STD_LOGIC_VECTOR(3 DOWNTO 0); SET1 SET2 消 除 彈 跳 用 -CLK PROCESS(CLK) IF CLK'event AND CLK='1'THEN QN <= QN + 1; END IF; END PROCESS; - X <= QN(17); PROCESS(X) IF X'event AND X='1' THEN D0 <= PS; D1 <= D0; END IF; END PROCESS; S <= D0 AND D1; R <= (NOT D0) AND (NOT D1); Q <= R NOR NQ; RSFF NQ<=S NOR Q; PROCESS(Q) IF Q'event AND Q='1' THEN PSS <= PSS+1; END IF; END PROCESS; 數 )

的 控 制 -LED COM0 <= "01" WHEN QN(9)='0' AND PSS=0 ELSE "10"; COM <= "01" WHEN QN(9)='0' AND PSS=0 ELSE "10"; SET1 <= QN(19 DOWNTO 17) WHEN PSS=0 ELSE SET1; SET2 <= QN(19 DOWNTO 17) WHEN PSS=1 OR PSS=0 ELSE SET2; SET3 <= QN(22 DOWNTO 19) WHEN PSS=1 OR PSS=0 ELSE SET3; LED1 <= "1000000" WHEN SET3=0 AND COM="01" ELSE "1100000" WHEN SET3=1 AND COM="01" ELSE "1110000" WHEN SET3=2 AND COM="01" ELSE "1111000" WHEN SET3=3 AND COM="01" ELSE "0111100" WHEN SET3=4 AND COM="01" ELSE "0011110" WHEN SET3=5 AND COM="01" ELSE "0001111" WHEN SET3=6 AND COM="01" ELSE "0000111" WHEN SET3=7 AND COM="01" ELSE "0000011" WHEN SET3=8 AND COM="01" ELSE "0000001" WHEN SET3=9 AND COM="01" ELSE "0000000" WHEN SET3=10 AND COM="01" ELSE "0000000" WHEN SET3=11 AND COM="01" ELSE "0000000" WHEN SET3=12 AND COM="01" ELSE "0000000" WHEN SET3=13 AND COM="01" ELSE "0000000" WHEN SET3=14 AND COM="01" ELSE "0000000" WHEN SET3=15 AND COM="01" ELSE "0001000" WHEN SET1=1 AND COM="10" ELSE "1000001" WHEN SET1=2 AND COM="10" ELSE "1001001" WHEN SET1=3 AND COM="10" ELSE "1010101" WHEN SET1=4 AND COM="10" ELSE "1011101" WHEN SET1=5 AND COM="10" ELSE "1110111" WHEN SET1=6 AND COM="10" ELSE "1110111"; LED2 <= "0000000" WHEN SET3=0 AND COM="01" ELSE "0000000" WHEN SET3=1 AND COM="01" ELSE "0000000" WHEN SET3=2 AND COM="01" ELSE "0000000" WHEN SET3=3 AND COM="01" ELSE "0000000" WHEN SET3=4 AND COM="01" ELSE "0000000" WHEN SET3=5 AND COM="01" ELSE "0000000" WHEN SET3=6 AND COM="01" ELSE "1000000" WHEN SET3=7 AND COM="01" ELSE "1100000" WHEN SET3=8 AND COM="01" ELSE "1110000" WHEN SET3=9 AND COM="01" ELSE "1111000" WHEN SET3=10 AND COM="01" ELSE "0111100" WHEN SET3=11 AND COM="01" ELSE "0011110" WHEN SET3=12 AND COM="01" ELSE "0001111" WHEN SET3=13 AND COM="01" ELSE "0000111" WHEN SET3=14 AND COM="01" ELSE "0000011" WHEN SET3=15 AND COM="01" ELSE "0001000" WHEN SET2=1 AND COM="10" ELSE "1000001" WHEN SET2=2 AND COM="10" ELSE "1001001" WHEN SET2=3 AND COM="10" ELSE "1010101" WHEN SET2=4 AND COM="10" ELSE "1011101" WHEN SET2=5 AND COM="10" ELSE "1110111" WHEN SET2=6 AND COM="10" ELSE "0001000";

七 段 顯 示 器 EDN <= QN(9 DOWNTO 7); EDN0 <= QN(9 DOWNTO 7); SEG <= "11111100" WHEN (SSW="000000000000" OR SSW="000000000100") AND EDN=2 ELSE 0 10 "01100000" WHEN (SSW="100000000000" OR SSW="000000000010") AND EDN=2 ELSE 1 11 "11011010" WHEN (SSW="010000000000" OR SSW="000000000001") 的 十 位 數 以 下 是 骰 子 AND 的 合 EDN=2 ELSE 2 "11110010" WHEN SSW="001000000000" AND EDN=2 ELSE 3 "01100110" WHEN SSW="000100000000" AND EDN=2 ELSE 4 "10110110" WHEN SSW="000010000000" AND EDN=2 ELSE 5 "00111110" WHEN SSW="000001000000" AND EDN=2 ELSE 6 "11100100" WHEN SSW="000000100000" AND EDN=2 ELSE 7 "11111110" WHEN SSW="000000010000" AND EDN=2 ELSE 8 "11100110" WHEN SSW="000000001000" AND EDN=2 ELSE 9 "01100000" WHEN (SSW="000000000100" OR SSW="000000000010" OR SSW="000000000001") AND EDN=1 ELSE 10 11 12 ( ) "11111100" WHEN ((SET1=4 AND SET2=6) OR (SET1=5 AND SET2=5) OR ((SET1=6 OR SET1=0 OR SET1=7) AND SET2=4)) AND EDN=5 ELSE 0 10 "01100000" WHEN (((SET1=6 OR SET1=0 OR SET1=7) AND SET2=5) OR (SET1=5 AND SET2=6)) AND EDN=5 ELSE 1 11 "11011010" WHEN (((SET1=6 OR SET1=0 OR SET1=7) AND SET2=6) OR (SET1=1 AND (SET2=1 OR SET2=0 OR SET2=7))) AND EDN=5 ELSE 2 "11110010" WHEN ((SET1=1 AND SET2=2) OR (SET1=2 AND (SET2=1 OR SET2=0 OR SET2=7))) AND EDN=5 ELSE 3 "01100110" WHEN ((SET1=1 AND SET2=3) OR (SET1=2 AND SET2=2) OR (SET1=3 AND (SET2=1 OR SET2=0 OR SET2=7)) )AND EDN=5 ELSE 4 "10110110" WHEN ((SET1=1 AND SET2=4) OR (SET1=2 AND SET2=3) OR (SET1=3 AND SET2=2) OR (SET1=4 AND (SET2=1 OR SET2=0 OR SET2=7)) )AND EDN=5 ELSE 5 "00111110" WHEN ((SET1=1 AND SET2=5) OR (SET1=2 AND SET2=4) OR (SET1=3 AND SET2=3) OR (SET1=4 AND SET2=2) OR (SET1=5 AND (SET2=1 OR SET2=0 OR SET2=7)) )AND EDN=5 ELSE 6 "11100100" WHEN ((SET1=2 AND SET2=5) OR (SET1=3 AND SET2=4) OR (SET1=4 AND SET2=3) OR(SET1=5 AND SET2=2) OR ((SET1=6 OR SET1=0 OR SET1=7) AND (SET2=1 OR SET2=0 OR SET2=7)) OR (SET1=1 AND SET2=6) ) AND EDN=5 ELSE 7 "11111110" WHEN ((SET1=2 AND SET2=6) OR (SET1=3 AND SET2=5) OR (SET1=4 AND SET2=4) OR (SET1=5 AND SET2=3) OR ((SET1=6 OR SET1=0 OR SET1=7) AND SET2=2) )AND EDN=5 ELSE 8 的 十 位 數 "11100110" WHEN ((SET1=3 AND SET2=6) OR (SET1=4 AND SET2=5) OR (SET1=5 AND SET2=4) OR ((SET1=6 OR SET1=0 OR SET1=7) AND SET2=3)) AND EDN=5 ELSE 9 "01100000" WHEN ((SET1=4 AND SET2=6) OR (SET1=5 AND SET2=5) OR ((SET1=6 OR SET1=0 OR SET1=7) AND SET2=4) OR (SET1=5 AND SET2=6) OR ((SET1=6 OR SET1=0 OR SET1=7) AND SET2=5) OR ((SET1=6 OR SET1=0 OR SET1=7) AND SET2=6) )AND EDN=4 ELSE 10 11 12 "00000000";

矩 陣 8x8 ROWW <= QN(9 DOWNTO 7); CCK <= QN(22 DOWNTO 20); SET4 <= "0110" WHEN (SET1>6 OR SET1=0) AND PSS=3 ELSE SET1; SET5 <= "0001" WHEN (SET2>6 OR SET2=0) AND PSS=3 ELSE SET2; CHK1 <= SET4 + SET5 WHEN PSS=3; CHK2 <= "0000" WHEN SSW="000000000000" ELSE "0001" WHEN SSW="100000000000" ELSE "0010" WHEN SSW="010000000000" ELSE "0011" WHEN SSW="001000000000" ELSE "0100" WHEN SSW="000100000000" ELSE "0101" WHEN SSW="000010000000" ELSE "0110" WHEN SSW="000001000000" ELSE "0111" WHEN SSW="000000100000" ELSE "1000" WHEN SSW="000000010000" ELSE "1001" WHEN SSW="000000001000" ELSE "1010" WHEN SSW="000000000100" ELSE "1011" WHEN SSW="000000000010" ELSE "1100" WHEN SSW="000000000001" ELSE "1111"; ROW <= CR <= "10000000" WHEN ROWW=0 ELSE "01000000" WHEN ROWW=1 ELSE "00100000" WHEN ROWW=2 ELSE "00010000" WHEN ROWW=3 ELSE "00001000" WHEN ROWW=4 ELSE "00000100" WHEN ROWW=5 ELSE "00000010" WHEN ROWW=6 ELSE "00000001" WHEN ROWW=7; "10110110" WHEN CCK=0 AND PSS=0 ELSE "01011011" WHEN CCK=1 AND PSS=0 ELSE "10101101" WHEN CCK=2 AND PSS=0 ELSE "11010110" WHEN CCK=3 AND PSS=0 ELSE "01101011" WHEN CCK=4 AND PSS=0 ELSE "10110101" WHEN CCK=5 AND PSS=0 ELSE "11011010" WHEN CCK=6 AND PSS=0 ELSE "01101101" WHEN CCK=7 AND PSS=0 ELSE "10110110" WHEN CCK=7 AND PSS=1 ELSE "01011011" WHEN CCK=6 AND PSS=1 ELSE "10101101" WHEN CCK=5 AND PSS=1 ELSE "11010110" WHEN CCK=4 AND PSS=1 ELSE "01101011" WHEN CCK=3 AND PSS=1 ELSE "10110101" WHEN CCK=2 AND PSS=1 ELSE "11011010" WHEN CCK=1 AND PSS=1 ELSE "01101101" WHEN CCK=0 AND PSS=1 ELSE "10110110" WHEN PSS=2 ELSE "11111000" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=0 AND (QN(22 DOWNTO 21)="00" "10111111" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=1 AND (QN(22 DOWNTO 21)="00" "11011101" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=2 AND (QN(22 DOWNTO 21)="00" "10111101" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=3 AND (QN(22 DOWNTO 21)="00" "11010101" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=4 AND (QN(22 DOWNTO 21)="00" "11110110" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=5 AND (QN(22 DOWNTO 21)="00" "01100110" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=6 AND (QN(22 DOWNTO 21)="00" "10011011" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=7 AND (QN(22 DOWNTO 21)="00" "00000000" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=0 AND (QN(22 DOWNTO 21)="00" "10010110" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=1 AND (QN(22 DOWNTO 21)="00"

"10011001" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=2 AND (QN(22 DOWNTO 21)="00" "01101001" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=3 AND (QN(22 DOWNTO 21)="00" "01101001" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=4 AND (QN(22 DOWNTO 21)="00" "01101111" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=5 AND (QN(22 DOWNTO 21)="00" "01101001" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=6 AND (QN(22 DOWNTO 21)="00" "01101001" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=7 AND (QN(22 DOWNTO 21)="00" OR QN(22 DOWNTO 21)="01") ; CG <= "01101101" WHEN CCK=0 AND PSS=0 ELSE "10110110" WHEN CCK=1 AND PSS=0 ELSE "01011011" WHEN CCK=2 AND PSS=0 ELSE "10101101" WHEN CCK=3 AND PSS=0 ELSE "11010110" WHEN CCK=4 AND PSS=0 ELSE "01101011" WHEN CCK=5 AND PSS=0 ELSE "10110101" WHEN CCK=6 AND PSS=0 ELSE "11011010" WHEN CCK=7 AND PSS=0 ELSE "01101101" WHEN CCK=7 AND PSS=1 ELSE "10110110" WHEN CCK=6 AND PSS=1 ELSE "01011011" WHEN CCK=5 AND PSS=1 ELSE "10101101" WHEN CCK=4 AND PSS=1 ELSE "11010110" WHEN CCK=3 AND PSS=1 ELSE "01101011" WHEN CCK=2 AND PSS=1 ELSE "10110101" WHEN CCK=1 AND PSS=1 ELSE "11011010" WHEN CCK=0 AND PSS=1 ELSE "01101101" WHEN PSS=2 ELSE "11111000" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=0 AND (QN(22 DOWNTO 21)="10" "10111111" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=1 AND (QN(22 DOWNTO 21)="10" "11011101" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=2 AND (QN(22 DOWNTO 21)="10" "10111101" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=3 AND (QN(22 DOWNTO 21)="10" "11010101" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=4 AND (QN(22 DOWNTO 21)="10" "11110110" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=5 AND (QN(22 DOWNTO 21)="10" "01100110" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=6 AND (QN(22 DOWNTO 21)="10" "10011011" WHEN CHK1 /= CHK2 AND PSS=3 AND ROWW=7 AND (QN(22 DOWNTO 21)="10" "00000000" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=0 AND (QN(22 DOWNTO 21)="10" "10010110" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=1 AND (QN(22 DOWNTO 21)="10" "10011001" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=2 AND (QN(22 DOWNTO 21)="10" "01101001" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=3 AND (QN(22 DOWNTO 21)="10" "01101001" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=4 AND (QN(22 DOWNTO 21)="10" "01101111" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=5 AND (QN(22 DOWNTO 21)="10" "01101001" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=6 AND (QN(22 DOWNTO 21)="10" "01101001" WHEN CHK1 = CHK2 AND PSS=3 AND ROWW=7 AND (QN(22 DOWNTO 21)="10" OR QN(22 DOWNTO 21)="01") ; END a;