电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本



Similar documents
( ) 信 号 与 系 统 Ⅰ 学 科 基 础 必 修 课 教 周 2016 年 06 月 13 日 (08:00-09:35) ( )

说 明 为 了 反 映 教 运 行 的 基 本 状 态, 为 校 和 院 制 定 相 关 政 策 和 进 行 教 建 设 与 改 革 提 供 据 依 据, 校 从 程 资 源 ( 开 类 别 开 量 规 模 ) 教 师 结 构 程 考 核 等 维 度, 对 2015 年 春 季 期 教 运 行 基

何 秋 琳 张 立 春 视 觉 学 习 研 究 进 展 视 觉 注 意 视 觉 感 知

Microsoft Word - GT21L16S2W简要说明V3.7.doc

第三章 作业

正 规 培 训 达 规 定 标 准 学 时 数, 并 取 得 结 业 证 书 二 级 可 编 程 师 ( 具 备 以 下 条 件 之 一 者 ) (1) 连 续 从 事 本 职 业 工 作 13 年 以 上 (2) 取 得 本 职 业 三 级 职 业 资 格 证 书 后, 连 续 从 事 本 职 业

Template BR_Rec_2005.dot

《C语言基础入门》课程教学大纲

国债回购交易业务指引

 编号:

生产支援功能 使用说明书(IP-110 篇)

<4D F736F F D20BFC9B1E0B3CCD0F2BFD8D6C6CFB5CDB3C9E8BCC6CAA6B9FABCD2D6B0D2B5B1EAD7BC2E646F63>

用节点法和网孔法进行电路分析

,,,,, :,, (.,, );, (, : ), (.., ;. &., ;.. &.., ;, ;, ),,,,,,, ( ) ( ),,,,.,,,,,, : ;, ;,.,,,,, (., : - ),,,, ( ),,,, (, : ),, :,

修改版-操作手册.doc

0 年 上 半 年 评 价 与 考 核 细 则 序 号 部 门 要 素 值 考 核 内 容 考 核 方 式 考 核 标 准 考 核 ( 扣 原 因 ) 考 评 得 3 安 全 生 产 目 30 无 同 等 责 任 以 上 道 路 交 通 亡 人 事 故 无 轻 伤 责 任 事 故 无 重 大 质 量


上证指数

抗 战 时 期 国 民 政 府 的 银 行 监 理 体 制 探 析 % # % % % ) % % # # + #, ) +, % % % % % % % %

Microsoft Word - 第3章.doc

单片机与接口技术课程考核改革方案.doc

名 称 生 命 科 学 学 院 环 境 科 学 1 生 物 学 仅 接 收 院 内 调 剂, 初 试 分 数 满 足 我 院 生 物 学 复 试 最 低 分 数 线 生 命 科 学 学 院 生 态 学 5 生 态 学 或 生 物 学 生 命 科 学 学 院

!!!!!!!!!!

2006年顺德区高中阶段学校招生录取分数线

2. 本 次 修 改 后, 投 资 者 申 购 新 股 的 持 有 市 值 要 求 市 值 计 算 规 则 及 证 券 账 户 使 用 的 相 关 规 定 是 否 发 生 了 变 化? 答 : 未 发 生 变 化 投 资 者 申 购 新 股 的 持 有 市 值 是 指, 以 投 资 者 为 单 位

HSK( 一 级 ) 考 查 考 生 的 日 常 汉 语 应 用 能 力, 它 对 应 于 国 际 汉 语 能 力 标 准 一 级 欧 洲 语 言 共 同 参 考 框 架 (CEF) A1 级 通 过 HSK( 一 级 ) 的 考 生 可 以 理 解 并 使 用 一 些 非 常 简 单 的 汉 语

2009—2010级本科课程教学大纲与课程简介格式

第2章 数据类型、常量与变量

Microsoft Word - 资料分析练习题09.doc

导 数 和 微 分 的 概 念 导 数 的 几 何 意 义 和 物 理 意 义 函 数 的 可 导 性 与 连 续 性 之 间 的 关 系 平 面 曲 线 的 切 线 和 法 线 导 数 和 微 分 的 四 则 运 算 基 本 初 等 函 数 的 导 数 复 合 函 数 反 函 数 隐 函 数 以


I

中 日 信 息 化 的 比 较 与 合 作 一 中 日 信 息 化 的 规 模 比 较

马 克 思 主 义 公 正 观 的 基 本 向 度 及 方 法 论 原 则!! # #

( 二 ) 现 行 统 一 高 考 制 度 不 利 于 培 养 人 的 创 新 精 神,,,,,,,,,,,,, [ ],,,,,,,,,,, :, ;,,,,,,? ( 三 ) 现 行 统 一 高 考 制 度 不 利 于 全 体 学 生 都 获 得 全 面 发 展,, [ ],,,,,,,,,,,

<4D F736F F D C4EAB9A4B3CCCBB6CABFCAFDD1A7D7A8D2B5BFCEBFBCCAD4B4F3B8D9D3EBD2AAC7F3>

龚 亚 夫 在 重 新 思 考 基 础 教 育 英 语 教 学 的 理 念 一 文 中 援 引 的 观 点 认 为 当 跳 出 本 族 语 主 义 的 思 维 定 式 后 需 要 重 新 思 考 许 多 相 连 带 的 问 题 比 如 许 多 发 音 的 细 微 区 别 并 不 影 响 理 解 和

深圳市新亚电子制程股份有限公司

第 期 李 伟 等 用 方 法 对 中 国 历 史 气 温 数 据 插 值 可 行 性 讨 论

中 国 软 科 学 年 第 期!!!

18 上 报 该 学 期 新 生 数 据 至 阳 光 平 台 第 一 学 期 第 四 周 至 第 六 周 19 督 促 学 习 中 心 提 交 新 增 专 业 申 请 第 一 学 期 第 四 周 至 第 八 周 20 编 制 全 国 网 络 统 考 十 二 月 批 次 考 前 模 拟 题 第 一 学

评 委 : 李 炎 斌 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单

Microsoft Word - 第7章 图表反转形态.doc

登录、注册功能的测试用例设计.doc

评 委 : 徐 岩 宇 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单

上海证券交易所会议纪要



学 年 第 二 学 期 集 中 考 试 安 排 (18 周 ) 考 试 日 期 :6 月 27 日 星 期 一 8:10-9:50 第 二 公 共 教 学 楼 A 区 A 高 等 数 学 ( 理 二 2) 复 材 材 料 科 学 与 工 程

金 不 少 于 800 万 元, 净 资 产 不 少 于 960 万 元 ; (3) 近 五 年 独 立 承 担 过 单 项 合 同 额 不 少 于 1000 万 元 的 智 能 化 工 程 ( 设 计 或 施 工 或 设 计 施 工 一 体 ) 不 少 于 2 项 ; (4) 近 三 年 每 年

精 勤 求 学 自 强 不 息 Born to win! 解 析 : 由 极 限 的 保 号 性 知 存 在 U ( a) 当 a 时 f ( ) f ( a) 故 f ( ) 在 点 a 不 取 极 值 f ( ) f ( a) f ( ) f ( a) lim lim a a a a ( a)

附 件 : 上 海 市 建 筑 施 工 企 业 施 工 现 场 项 目 管 理 机 构 关 键 岗 位 人 员 配 备 指 南 二 一 四 年 九 月 十 一 日 2

!!

富士通将军空调机 诺可力®X系列 样本

世华财讯模拟操作手册

课程类 别

002 电 子 科 学 与 工 程 学 院 拟 招 生 150 人 联 系 人 : 周 老 师, 电 话 物 理 电 子 学 电 路 分 析 电 磁 场 理 论 01 电 磁 物 理 与 微 波 电 子 学 02 光 子 学 与 光 电 技 术 03 微 纳

·绪论

权 利 要 求 书 1/2 页 1. 一 种 分 布 式 有 源 相 控 阵 雷 达 的 波 束 形 成 方 法, 该 分 布 式 有 源 相 控 阵 雷 达 包 括 : 同 步 与 扫 描 控 制 系 统 数 据 处 理 机 有 源 相 控 天 线 阵 列 和 收 发 组 件 阵 列, 所 述 的

微软用户

《深圳市场首次公开发行股票网上按市值申购实施办法》.doc

目 录 关 于 图 标... 3 登 陆 主 界 面... 3 工 单 管 理... 5 工 单 列 表... 5 搜 索 工 单... 5 工 单 详 情... 6 创 建 工 单... 9 设 备 管 理 巡 检 计 划 查 询 详 情 销 售 管

<4D F736F F D DB9FAD5AEC6DABBF5B1A8B8E6CAAEC8FDA3BAB9FAD5AEC6DABBF5B5C4B6A8BCDBBBFAD6C6D3EBBBF9B2EEBDBBD2D7D1D0BEBF>

第 六 章 债 券 股 票 价 值 评 估 1 考 点 一 : 债 券 价 值 的 影 响 因 素 2

<4D F736F F D D323630D6D0B9FAD3A6B6D4C6F8BAF2B1E4BBAFB5C4D5FEB2DFD3EBD0D0B6AF C4EAB6C8B1A8B8E6>

自 服 务 按 钮 无 法 访 问 新 系 统 的 自 服 务 页 面 因 此 建 议 用 户 从 信 网 中 心 ( 主 页, 右 下 角 位 置 的 常 用 下 载, 或 校 园 网 用 户 自 服 务 ( 首 页

<433A5C C6B73625C B746F705CB9FABCCAD6D0D2BDD2A9D7A8D2B5B8DFBCB6BCBCCAF5D6B0B3C6C6C0C9F3C9EAC7EBD6B8C4CFA3A CDA8D3C3B0E6A3A92E646F63>

数 学 标 准 不 练 习 1.1 理 解 问 题 并 坚 持 解 决 这 些 问 题 1.2 以 抽 象 和 定 量 方 式 推 理 1.3 建 构 可 行 参 数 和 评 判 他 人 的 推 理 1.4 使 用 数 学 方 法 建 模 1.5 策 略 性 地 使 用 合 适 的 工 具 1.6

类 似 地, 又 可 定 义 变 下 限 的 定 积 分 : ( ). 与 ψ 统 称 为 变 限 积 分. f ( ) d f ( t) dt,, 注 在 变 限 积 分 (1) 与 () 中, 不 可 再 把 积 分 变 量 写 成 的 形 式 ( 例 如 ) 以 免 与 积 分 上 下 限 的

6 A 公 司 期 末 存 货 用 成 本 与 可 变 现 净 值 孰 低 法 计 价 2005 年 8 月 9 日 A 公 司 与 N 公 司 签 订 销 售 合 同, 由 A 公 司 于 2006 年 5 月 15 日 向 N 公 司 销 售 计 算 机 5000 台, 每 台 1.20 万 元

4 进 入 交 互 区 设 置 的 组 件 管 理, 在 组 件 管 理 中, 教 师 可 以 选 择 课 程 空 间 中 的 所 有 组 件, 并 通 过 点 击 启 用 或 不 启 用 选 定 组 件 在 课 程 空 间 中 的 显 示 5 进 入 工 作 室 管 理 的 工 作 室 首 页,

健美操技能指导书

供 试 材 料 试 验 试 剂 和 仪 器 基 因 组 提 取 分 析 方 法 反 应 体 系 最 佳 配 比 的 筛 选 退 火 温 度 对 扩 增 效 果 的 影 响

合 并 计 算 配 售 对 象 持 有 多 个 证 券 账 户 的, 多 个 证 券 账 户 市 值 合 并 计 算 确 认 多 个 证 券 账 户 为 同 一 配 售 对 象 持 有 的 原 则 为 证 券 账 户 注 册 资 料 中 的 账 户 持 有 人 名 称 有 效 身 份 证 明 文 件

<4D F736F F D20312ECEDECFDFB5E7B5F7CAD4B9A4B9FABCD2D6B0D2B5B1EAD7BC2E646F63>

<443A5C6D B5C30312EB9A4D7F7CEC4B5B55C30322EBACFCDACCEC4B5B55C C30342EC8CBC9E7CCFC5C31332ECFEEC4BFC5E0D1B55C E30385C322EB2D9D7F7CAD6B2E12E646F63>

01

第四章 投资性房地产

教师上报成绩流程图

<4D F736F F D20B2CEBFBC3232C6DAD1A7CFB0D3EBCBBCBFBCC4DAD2B3>

随着执业中医师资格考试制度的不断完善,本着为我校中医学专业认证服务的目的,本文通过对我校中医类毕业生参加2012年和2013年的中医执业医师考试成绩及通过率、掌握率进行分析,并与全国的平均水平进行差异比较分析,以此了解我校执业中医师考试的现状,进而反映我校中医类课程总体教学水平,发现考核知识模块教学中存在的不足,反馈给相关学院和教学管理部门,以此提高教学和管理水平。

国家职业标准:网络课件设计师

Microsoft Word - 文件汇编.doc

黄 金 原 油 总 持 仓 增 长, 同 比 增 幅 分 别 为 4.2% 和 4.1% 而 铜 白 银 以 及 玉 米 则 出 现 减 持, 减 持 同 比 减 少 分 别 为 9.4%,9.4% 以 及 6.5% 大 豆, 豆 粕 结 束 连 续 4 周 总 持 仓 量 增 长, 出 现 小 幅

一 从 分 封 制 到 郡 县 制 一 从 打 虎 亭 汉 墓 说 起

第1篇 道路桥梁工程技术核心专业课程标准及学习绩效考评体系

系统设计文档_样稿管理模块 V1.1_.doc


微 积 分 ( 二 ) 教 学 大 纲 2 (2010 版 ) 课 程 编 码 : 课 程 名 称 : 微 积 分 学 时 / 学 分 :36/2 先 修 课 程 : 初 等 数 学 立 体 几 何 平 面 解 析 几 何 微 积 分 ( 一 ) 适 用 专 业 : 人 力 资 源 管

FET848

Cybozu Garoon 3 管理员手册

<433A5C446F63756D656E E E67735C41646D696E F725CD7C0C3E65CC2DBCEC4CFB5CDB3CAB9D3C3D6B8C4CFA3A8BCF2BBAFA3A95CCAB9D3C3D6B8C4CF31302D31392E646F63>

一 开 放 性 的 政 策 与 法 规 二 两 岸 共 同 的 文 化 传 承 三 两 岸 高 校 各 自 具 有 专 业 优 势 远 见 杂 志 年 月 日

doc

<4D F736F F D20B9D8D3DAB0BABBAAA3A8C9CFBAA3A3A9D7D4B6AFBBAFB9A4B3CCB9C9B7DDD3D0CFDEB9ABCBBE C4EAC4EAB6C8B9C9B6ABB4F3BBE1B7A8C2C9D2E2BCFBCAE92E646F6378>

上海证券交易所会议纪要

i 1) 系 统 运 作 前 设 定 *1. [2.1 网 页 主 机 名 称 设 定 ] -- 设 定 校 务 系 统 的 主 机 IP 地 址, 以 供 其 他 个 人 电 脑 连 接 及 使 用 该 系 统 *2. [2.3.1 输 入 / 修 改 学 校 资 料 ] -- 输 入 系 统 使

云信Linux SSH认证代理用户手册

定 位 和 描 述 : 程 序 设 计 / 办 公 软 件 高 级 应 用 级 考 核 内 容 包 括 计 算 机 语 言 与 基 础 程 序 设 计 能 力, 要 求 参 试 者 掌 握 一 门 计 算 机 语 言, 可 选 类 别 有 高 级 语 言 程 序 设 计 类 数 据 库 编 程 类

¹ º ¹ º 农 业 流 动 人 口 是 指 户 口 性 质 为 农 业 户 口 在 流 入 地 城 市 工 作 生 活 居 住 一 个 月 及 以 上 的 流 动 人 口 非 农 流 动 人 口 是 指 户 口 性 质 为 非 农 户 口 在 流 入 地 城 市 工 作 生 活 居 住 一 个

Transcription:

AT89C51 体 重 称 电 路 图 原 理 本 文 设 计 一 种 利 用 电 阻 应 变 式 压 力 传 感 器 和 MCS-51 单 片 机 等 器 件 制 作 的 体 重 秤 该 体 重 秤 的 量 程 为 100kg, 能 实 现 称 重 数 码 显 示 调 零 等 功 能 该 体 重 秤 利 用 电 桥 测 量 原 理, 将 压 力 应 变 传 感 器 阻 值 转 换 为 电 压 值, 再 经 过 放 大 器 将 电 压 放 大, 通 过 确 定 输 出 电 压 和 标 准 重 量 的 关 系, 形 成 一 台 原 始 体 重 秤 将 此 输 出 电 压 经 过 模 数 转 换, 送 入 MCS-51 单 片 机 处 理, 再 控 制 数 码 管 驱 动 电 路, 最 后 显 示 出 测 量 结 果 1 总 体 方 案 设 计 本 设 计 由 以 下 几 部 分 组 成 : 电 阻 应 变 传 感 器 信 号 放 大 器 模 数 转 换 单 片 机 显 示 器 传 感 器 获 取 信 号 信 号 放 大 模 数 转 换 微 处 理 器 处 理 即 时 显 示 由 电 阻 应 变 式 传 感 器 感 受 被 测 物 体 的 质 量, 通 过 电 桥 输 出 电 压 信 号, 通 过 放 大 电 路 将 输 出 信 号 放 大, 而 后 送 入 A/D 转 换 单 元 进 行 模 数 转 换, 将 转 换 后 的 数 字 信 号 送 给 单 片 机 ; 单 片 机 接 收 数 据 后, 对 数 据 进 行 处 理, 将 其 转 换 为 对 应 的 重 量 信 息, 送 数 码 显 示 模 块 进 行 显 示 单 片 机 同 时 也 可 以 进 行 调 零 操 作 2 硬 件 电 路 设 计 2.1 电 阻 应 变 传 感 器 传 感 器 框 图 :

电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本 设 计 主 要 在 实 验 室 内 进 行, 温 度 的 影 响 暂 不 处 理 在 电 桥 测 量 电 路 中, 将 一 对 变 化 相 反 的 应 变 片 接 入 电 桥 一 臂, 另 一 臂 接 两 个 相 同 的 阻 值 作 为 基 准 值 ; 假 设 桥 臂 电 阻 初 始 值 R1=R2=R3=R4=350 时 平 衡, 其 变 化 值 为 ΔR1=ΔR2 = Δ R3 = Δ R4=d 时, 其 桥 路 输 出 电 压 Uout 与 d 成 正 比 2.2 输 入 调 零 电 路 调 零 电 路 用 以 抵 消 传 感 器 的 零 点 输 出 和 称 体 本 身 的 自 重 引 起 的 传 感 器 输 出 信 号 它 是 由 高 稳 定 的 电 阻 多 圈 线 绕 电 位 器 和 直 流 稳 压 源 组 成 的 电 桥 电 路 图 ( 下 图 ), 称 为 调 零 电 桥 将 调 零 电 桥 串 接 在 传 感 器 输 出 和 放 大 器 之 间, 通 过 调 节 调 零 电 桥 内 的 可 变 电 位 器 RV1, 改 变 桥 路 不 平 衡 输 出 电 压 u02, 使 之 与 传 感 器 空 载 输 出 电 压 u01 大 小 相 等, 极 性 相 反 这 样 就 可 以 使 电 子 称 在 空 载 时 总 的 输 出 电 压 u0 为 零 到 放 u 01 u 02 大 环 节 u0 RV1 调 零 范 围 的 计 算 : 供 桥 电 压 为 12V RV1 的 滑 动 臂 在 极 端 位 置 时, 其 输 出 电 压 为 uw1, uw1=12v/(r5+r6)=12 (±5)/(10+10+10)=±2V 调 零 电 桥 的 输 出 为 u02, u02= uw1r1/[r5//(r6+rv1)+r7+r1]=±18.6mv

2.3 放 大 环 节 所 选 的 称 重 传 感 器 输 出 灵 敏 度 为 2mv, 输 入 电 桥 电 压 为 12V, 因 此 传 感 器 输 出 的 摆 幅 约 为 0~24mV 而 A/D 转 换 的 输 入 电 压 要 求 为 0~5V, 因 此 放 大 环 节 要 有 200 倍 左 右 的 增 益 放 大 环 节 如 下 : 接 传 感 器 接 A/D 转 换 反 相 器 传 感 器 满 额 输 出 时 的 电 压 为 24mv, 放 大 204 倍 后 输 入 到 A/D 转 换 模 块 的 模 拟 量 为 4.896V, 用 10 位 A/D 时 对 应 输 出 的 数 字 量 为 4.896/5 *1023=1001, 即 输 出 100.1kg, 误 差 0.1% 2.4 A/D 转 换 设 计 要 求 四 位 数 码 管 显 示, 精 度 为 0.1kg, 因 此 转 换 分 辨 率 为 1/1000 这 里 采 用 10 位 模 数 转 换 芯 片 AD7810 AD7810 是 美 国 模 拟 器 件 公 司 (Analog Devices) 生 产 的 一 种 低 功 耗 10 位 高 速 串 行 A/D 转 换 器 该 产 品 有 8 脚 DIP 和 SOIC 两 种 封 装 形 式, 并 带 有 内 部 时 钟 它 的 外 围 接 线 极 其 简 单,AD7810 的 转 换 时 间 为 2μs, 采 用 标 准 SPI 同 步 串 行 接 口 输 出 和 单 一 电 源 (2.7V~5.5V) 供 电 在 自 动 低 功 耗 模 式 下, 该 器 件 在 转 换 吞 吐 率 为 1kSPS 时 的 功 耗 仅 为 27μW, 因 此 特 点 适 合 于 便 携 式 仪 表 及 各 种 电 池 供 电 的 应 用 场 合 使 用 1 AD7810 引 脚 功 能 AD7810 引 脚 排 列 如 图 1 所 示, 各 引 脚 的 功 能 如 下 : 1 脚 CONVST: 转 换 启 动 输 入 信 号 2 脚 VIN+: 模 拟 信 号 同 相 输 入 端 3 脚 VIN-: 模 拟 信 号 反 相 输 入 端 4 脚 GND: 接 地 端 口 5 脚 VREF: 转 换 参 考 电 压 输 入 端 6 脚 DOUT: 串 行 数 据 输 出 端 7 脚 SCLK: 时 钟 输 入 端 8 脚 VDD: 电 源 端

2 AD7810 主 要 参 数 AD7810 的 主 要 参 数 如 下 : 分 辨 率 :10 位 二 进 制 ; 转 换 时 间 :2μs; 非 线 性 误 差 :±1LSB; 电 源 电 压 范 围 :2.7~5.5V; 电 源 功 耗 : 高 速 方 式 时 为 17.5mW, 低 功 耗 方 式 时 为 5μW; 参 考 电 压 VEFR 范 围 :1.2V~VDD; 模 拟 电 压 输 入 范 围 :0V~VREF; 输 出 形 式 :SPI 同 步 串 行 输 出, 与 TTL 电 平 兼 容 3 AD7810 的 工 作 模 式 3.1 高 速 模 式 工 图 2 是 AD7810 工 作 在 高 速 模 式 时 的 时 序 图 在 此 模 式 下, 启 动 信 号 CONVST 一 般 处 于 高 电 平 在 CONVST 端 输 入 一 个 负 脉 冲, 其 下 降 沿 将 启 动 一 次 转 换 若 采 用 内 部 时 钟, 那 么, 转 换 需 要 2μs 的 时 间 ( 图 中 t1) 当 转 换 结 束 时 ( 图 中 A 点 ),AD7810 会 自 动 将 转 换 结 果 锁 存 到 输 出 移 位 寄 存 器 中 此 后, 在 每 一 个 SCLK 脉 冲 的 上 升 沿, 数 据 按 由 高 到 低 的 原 则 ( 首 先 发 送 DB9, 最 后 发 送 DB0) 依 次 出 现 在 DOUT 上 如 果 在 转 换 还 未 结 束 之 前 就 发 出 SCLK 信 号 来 启 动 数 据 输 出, 那 么, 在 DOUT 上 出 现 的 将 是 上 一 次 转 换 的 结 果 启 动 信 号 CONVST 应 在 转 换 结 束 前 变 为 高 电 平, 即 t3 应 小 于 t1, 否 则 器 件 将 自 动 进 入 低 功 耗 模 式 另 外, 串 行 时 钟 SCLK 的 最 高 频 率 不 能 超 过 20MHz 3.2 自 动 低 功 耗 模 式 图 3 是 AD7810 工 作 在 自 动 低 功 耗 模 式 时 的 时 序 图 在 此 模 式 下, 启 动 信 号 CONVST 为 低 电 平 时, 器 件 处 于 低 功 耗 休 眠 状 态 当 在 CONVST 端 输 入 一 个 正 脉 冲 时, 可 在 其 上 升 沿 将 器 件 从 休 眠 状 态 唤 醒, 唤 醒 过 程 需 要 1μs 的 时 间 ( 图 中 t2) 当 器 件 被 唤 醒 后, 系 统 将 自 动 启 动 一 次 转 换, 转 换 时 间 也 是 2μs( 图 中 t1) 转 换 结 束 时,AD7810 将 转 换 结 果 锁 存 到 输 出 移 位 寄 存 器 中, 同 时 自 动 将 器 件 再 一 次 置 于 低 拉 耗 状 态 启 动 信 号 CONVST 正 脉 冲

的 宽 度 ( 图 2 中 t3) 应 小 于 1μs, 否 则 器 件 被 唤 醒 后 将 不 会 自 动 启 动 转 换, 而 是 将 A/D 转 换 的 启 动 时 间 顺 延 至 CONVST 的 下 降 沿 处 自 动 低 功 耗 模 式 是 AD7810 是 一 大 特 色, 一 般 当 数 据 吞 吐 率 小 于 100kSPS 时, 应 使 器 件 工 作 在 此 模 式 下 在 5V 电 源 电 压 下, 当 数 据 吞 吐 率 为 100kSPS 时, 器 件 的 功 耗 2.7mW; 而 当 数 据 吞 吐 率 为 10kSPS 时, 功 耗 为 270μW; 若 数 据 吞 吐 率 为 1kSPS, 则 其 功 耗 仅 27μW (3) 接 口 电 路 : 模 拟 输 入 2.5 显 示 输 出 单 元 与 单 片 机 接 口 用 4 位 LED 共 阳 数 码 管 显 示,P0 口 输 出 段 码 数 据,P2 口 做 扫 描 控 制, 每 个 LED 数 码 管 亮 1ms 时 间 再 逐 位 循 环, 可 以 正 常 显 示 而 不 会 产 生 闪 烁

2.6 总 电 路 图 3 单 片 机 程 序 设 计 主 程 序 流 程 图 所 示 A/D 转 换 子 程 序 流 程 图

初 始 化 子 程 序 开 始 A/D 转 换 赋 循 环 初 值 R0=10 计 算 启 动 AD7810 显 示 读 数 据 移 位 R--=0? Y 返 回 N 源 程 序 : ;******************************************************************* ; 体 重 秤 * ; 采 用 4 位 LED 共 阳 显 示 重 量 值, 显 示 精 度 0.1kg, 测 量 范 围 0~100kg * ; 用 AT89C51 单 片 机,11.0592MHz 晶 振 * ;******************************************************************** ;**************** 引 脚 定 义 ****************** SCLK BIT P1.0 DOUT BIT P1.1 CONVST BIT P1.2 ;****************** 系 统 初 始 化 ***************** ORG 1000H START: SP,#60H SJMP INIT ERROR: NOP LJMP START NOP INIT: NOP PSW,#00H CLR SCLK SETB SCLK CLR CONVST LJMP MAIN

;********************* 主 程 序 *************************** MAIN: LCALL MS0 ; 调 用 模 数 转 换 程 序 LCALL WORK ; 数 据 处 理 LCALL DISP ; 显 示 LJMP MAIN ;***************************************************** ; 子 程 序 区 ;***************************************************** ;******************************************** ;A/D 转 换 子 程 序, 返 回 时 数 据 低 8 位 在 R4 中, 高 2 位 在 R5 中 MS0: R1,#10 ;10 位 数 据 R4,#0 SETB CONVST ; 唤 醒 启 动 AD7810 CLR CONVST MS1: SETB SCLK ; 发 送 SCLK 信 号 C,DOUT ; 读 一 位 数 据 CLR SCLK A,R4 ; 数 据 移 位 R4,A A,R5 R5,A DJNZ R1,MS1 RET 中 ;************************************************* ; 计 算 程 序 50H~53H 分 别 存 个 十 百 千 位 WORK: R3,#03H R2,#0E8H ; 求 千 位,R3R2=1000 LCALL DIV2BY2 ;R5R4/1000 53H,R4 ; 商 小 于 10, 以 二 进 制 的 形 式 存 于 R4 A,R1 R5,A ; 余 数 存 于 R5R4 中, 准 备 下 一 次 计 算 A,R0 R4,A R3,#00H R2,#64H ; 求 百 位

LCALL DIV2BY2 52H,R4 A,R1 R5,A A,R0 R4,A R3,#00H R2,#0AH ; 求 十 位 LCALL DIV2BY2 51H,R4 50H,R0 ;R0 中 为 个 位 RET ;************************************************************** ; 显 示 子 程 序 ;************************************************************** ; 显 示 数 据 在 50H~53H 单 元 内, 用 4 位 LED 共 阳 数 码 管 显 示,P0 口 输 出 段 码 数 据, ;P2 口 做 扫 描 控 制, 每 个 LED 数 码 管 亮 1ms 时 间 再 逐 位 循 环 DISP: R1,#50H ; 指 向 显 示 数 据 首 址 R5,#0FEH ; 扫 描 控 制 字 初 值 PLAY: P0,#0FFH A,R5 ; 扫 描 子 放 入 A P2,A ;P2 口 控 制 哪 个 灯 亮 A,@R1 ; 取 显 示 数 据 到 A DPTR,#TAB ; 取 段 码 表 地 址 C A,@A+DPTR ; 查 显 示 数 据 对 应 段 码 P0,A A,R5 JB ACC.1,LOOP ; 小 数 点 处 理 CLR P0.7 LOOP: LCALL DL1MS ; 显 示 1ms INC R1 ; 指 向 下 一 个 指 针 A,R5 ; 扫 描 控 制 字 放 入 A JNB ACC.3,ENDOUT ;ACC.3=0 时 一 次 显 示 结 束 RL A ;A 中 数 据 循 环 左 移 R5,A ; 放 回 R5 内 AJMP PLAY ; 跳 回 PLAY 循 环 ENDOUT: P0,#0FFH ; 一 次 显 示 结 束,P0 复 位 P2,#0FFH ;P2 复 位 RET ; 子 程 序 返 回 TAB: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H,0FFH,88H,0BFH ; 共 阳 断 码 表 "0 ","1 ","2 ","3 ","4","5","6","7 ","8","9"," 不 亮 ","A","-"

;******************** 延 时 程 序 ********************* ; 延 时 1ms DL1MS: R6,#14H DL1: R7,#19H DL2: DJNZ R7,DL2 DJNZ R6,DL1 RET ;**************2 字 节 /2 字 节 无 符 号 数 除 法 程 序 ***************** ;R5R4/R3R2=RR5R4( 商 )...R1R0( 余 数 ) DIV2BY2: 46H,#10H ; 循 环 16 次 R0,#00H R1,#00H DIVLOOP1: A,R4 R4,A A,R5 R5,A A,R0 R0,A A,R1 R1,A CLR C A,R0 SUBB A,R2 B,A A,R1 SUBB A,R3 JC DIVLOOP2 R0,B R1,A DIVLOOP2: CPL C DJNZ 46H,DIVLOOP1 A,R4 R4,A A,R5 R5,A RET END

4 仿 真 测 试 4.1 传 感 器 部 分 其 他 部 分 的 仿 真 由 于 protes 软 件 元 件 库 中 没 有 AD7810 芯 片, 使 得 仿 真 没 有 能 进 一 步 下 去, 由 于 时 间 关 系, 没 换 成 其 他 的 A/D 转 换 芯 片 4.2 软 件 编 译

5 总 结 本 课 程 设 计 制 作 的 体 重 秤, 集 传 感 器 技 术 微 机 技 术 于 一 体, 实 现 了 基 本 的 秤 重 显 示 功 能, 稍 加 扩 展, 还 可 与 其 他 生 产 质 量 管 理 系 统 相 连 接, 实 现 数 据 交 换 记 录 分 析 等 功 能, 具 有 推 广 应 用 价 值 在 仿 真 过 程 中,A/D 转 换 部 分 遇 到 的 问 题 最 大 由 于 没 有 相 应 的 元 件 和 实 物, 使 得 系 统 电 路 的 调 试 任 务 比 较 困 难 对 此, 我 们 先 是 选 用 合 适 的 仿 真 元 件 进 行 软 件 仿 真 成 为 可 能, 刚 开 始 我 们 选 用 的 是 由 National Semiconductor 公 司 生 产 的 ADC0809, 这 给 系 统 的 仿 真 得 到 了 很 大 的 便 利, 可 是 其 分 辨 率 只 有 1//255 达 不 到 要 求, 因 而 最 终 选 择 了 Analog Devices 的 AD7810 在 设 计 过 程 中, 我 们 组 两 人 分 工 学 习, 相 互 配 合, 终 于 完 成 了 课 程 设 计 的 要 求 课 程 设 计 过 程 中, 我 们 将 课 上 学 习 的 理 论 知 识 加 以 运 用, 锻 炼 了 自 主 学 习 能 力, 遇 到 不 懂 的 查 资 料, 出 现 问 题 相 互 讨 论, 实 际 操 作 中 锻 炼 的 动 手 能 力, 还 学 会 了 使 用 Protel 和 Proteus 软 件 的 方 法, 收 获 颇 多