Microsoft Word - SOC_Encounter_Flow_new4.docx

Similar documents
gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C

混訊設計流程_04.PDF

enews174_2

Outline Introduction Design Rule Check Layout vs. Schematic Check Lab Tutorial

Microsoft Word - Lab8_1213.docx

圖 1. 手 工 搭 棚 [ 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT

12 Differential Low-Power 6x6 12 bit multiply 1

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

Microsoft PowerPoint - IC.ppt

Cadence Poqi

Chapter 24 DC Battery Sizing

1 1

enews172_1

68369 (ppp quickstart guide)

Layout Skill_and_Varification

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx

PCB设计问题集

untitled

2/80 2

Text 文字输入功能 , 使用者可自行定义文字 高度, 旋转角度 , 行距 , 字间距离 和 倾斜角度。

Huawei Technologies Co

untitled

PTS7_Manual.PDF

ICD ICD ICD ICD ICD

untitled

BC04 Module_antenna__ doc

Microsoft Word - AP1515V02

100 地方政府公共管理個案選輯 整個名字聽起來就好像手機的牌子 磨先生其實人很好 相處 只是說話大剌剌的 什麼事情都無所謂的樣子 再加上年資高見多識廣 所以對於事情的輕重緩急有自 己的見解 故事大綱 最近這三個月 西海示範公墓拓建公園開發案 是鎮長交 辦給建設課的重要事項 泰奧對這個案子費心不少

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

P4i45GL_GV-R50-CN.p65

epub83-1

附件1:

Paratune用户手册

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

WinMDI 28

基于UML建模的管理管理信息系统项目案例导航——VB篇

untitled

untitled

第一章

Abstract arm linux tool-chain root NET-Start! 2

untitled

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

untitled

GH1220 Hall Switch

自动化接口

邏輯分析儀的概念與原理-展示版

2_dvdr3380_97_CT_21221b.indd

热设计网

ch08.PDF

Microsoft Word - 3D手册2.doc

Microsoft Word 電子構裝結構分析1221.doc

Simulator By SunLingxi 2003

ebook111-4

Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram

C/C++ - 文件IO

目录

NT 4

untitled

Bus Hound 5

1-S40A...-1 DAT00452 V.005

Chemcad.doc

PDFᅲᆰᄏ커￷

Application Note Format

目次 

VHDL Timer Exercise

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

标题,黑体18号

712s

Microsoft Word - 議題三~1

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

SL2511 SR Plus 操作手冊_單面.doc

audiogram3 Owners Manual

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc

目 录

4. I/O I/O Copyright 2001, Intellution, Inc. 4-1

目錄

1. 2. Flex Adobe 3.

第一章

coverage2.ppt

工业和信息化部人才交流中心

FM1935X智能非接触读写器芯片

OOAD PowerDesigner OOAD Applying PowerDesigner CASE Tool in OOAD PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE To


iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

CH01.indd

Quality of Life 1 TEIJIN CSR Report 2012

Microsoft Word - MTK平台生产软件使用说明.doc

f2.eps

KL DSC DEMO 使用说明

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南

行业

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

HP LJ 4000 UG - ZHTW

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

Oracle 4

Tel:

Current Sensing Chip Resistor

Transcription:

SOC Encounter Flow First version: Chlin 2007.07.13 Second version: Paulman, lkince, Arong 2008.10.20 Data Prepare Description Working Directory Bonding Pad Capacitance Table File IO Filler Layout Mapping File Gate Level Netlist IO Constraint File Timing Constraint File Antenna Rules IO library Core Library Timing Library(Core) Timing Library(IO) Technology File for Veriuoso Virtuoso File Physical Layout(Core) Physical Layout(IO) Calibre DRC Rule File IOPAD SPICE File IOPAD Verilog File Core Cell SPICE File Core Cell Verilog File Calibre LVS Rule File RAM FILE or Directroy ~/SOCE/run/ ~/SOCE/run/addbonding_tpz.pl ~/SOCE/run/io_tpz.list ~/SOCE/run/tsmc013.capTbl ~/SOCE/run/addIoFiller_tpz.cmd ~/SOCE/run/streamOut.map ~/SOCE/design_data/CHIP.vg ~/SOCE/design_data/CHIP.ioc ~/SOCE/design_data/CHIP.sdc ~/SOCE/lef/antenna_8.lef ~/SOCE/lef/tpz013g3_8lm_cic.lef ~/SOCE/lef/tsmc13fsg_8lm_cic.lef ~/SOCE/lib/fast.lib, slow.lib, typical.lib ~/SOCE/lib/tpz013g3lt.lib, tpz013g3wc.lib, tpz013g3tc.lib ~/SOCE/replace/Virtuoso4.4_0.13um_Ver2.la.1.tf ~/SOCE/replace/.cdsinit ~/SOCE/replace/display.drf ~/SOCE/replace/GDSII/tsmc13gfsg_fram.gds ~/SOCE/replace/GDSII/tpz013g3.gds ~/SOCE/verify/drc/Calibre drc cur ~/SOCE/verify/lvs/tpz013_lvs.spi ~/SOCE/verify/lvs/tpz013_lvs.v ~/SOCE/verify/lvs/tsmc13gfsg_fram_lvs.spi ~/SOCE/verify/lvs/tsmc13gfsg_fram_lvs.v ~/SOCE/verify/lvs/Calibre lvs cur ~/SOCE/RAM_relate/RF1SHD_64x8_ant.clf ~/SOCE/RAM_relate/RF1SHD_64x8.vclef ~/SOCE/RAM_relate/RF1SHD_64x8*.lib

Place & Route with SOC Encounter 注意事項 : 以下的設定由於檔案設定不同, 所以與原先 Lab 不同, 是需要注意的 地方, 此專題練習仍需要按照原先 Lab 流程進行 DAY 1 執行目錄為 run 在 Design Import 部分 Verilog Files../design_data/CHIP.vg Top Cell CHIP Max Timing Library../lib/slow.lib../lib/tpz013g3wc.lib../RAM_relate/RF1SHD_64x8_slow_syn.lib Min Timing Library../lib/typical.lib../lib/tpz013g3tc.lib../RAM_relate/RF1SHD_64x8_typical_syn.lib Common Timing Library../lib/typical.lib../lib/tpz013g3tc.lib../RAM_relate/RF1SHD_64x8_typical_syn.lib LEF Files../lef/tsmc13fsg_8lm_cic.lef../lef/tpz013g3_8lm_cic.lef../lef/antenna_8.lef../RAM_relate/RF1SHD_64x8.vclef../RAM_relate/RF1SHD_64x8_ant.lef Timing Constraint File../design_data/CHIP.sdc IO Assignment File../design_data/CHIP.ioc

注意,LEF File 的第一個 import 進去的一定要是 tsmc13fsg_8lm_cic.lef, 輸入完千萬不要急著按 OK 切換到 Advance 的 slide 切換到 IPO/CTS Buffer Name/Footprint buf Delay Name/Footprint dly2 Inverter Name/Footprint inv CTS: Cell Footprint clkinv clkbuf clkand2 clkxor2 clkmux2 切換到 Power Power Nets VDD Ground Nets VSS 切換到 RC Extraction Typical Capacitance tsmc013.captbl Table File Best Capacitance Table tsmc013.captbl File Worse Capacitance Table tsmc013.captbl File 按 Save 把這個表格存起來以後可以重新 Load, 存好後按 OK 在 Specify Floorplan 的設定

Ratio(H/W) 1 Core Utilization 0.2 Core to Left 80 Core to Top 80 Core to Right 80 Core to Bottom 80 其他用 default 即可, 按 OK 不需要 Specify scan chain 不需要打 Block ring, 與 Stripe 步驟 8.5 在步驟 8.3 之前做, 可先將 Memory 加上 Halo 再讓 Tool 去幫我們做 Placement

DAY 2 (Day 2, Lab1 Clock Tree Synthesis, step 6) Generate Clock Tree Spec Buffer Footprint clkbuf Inverter Footprint clkinv Save Spec To CHIP.ctstch (Day 2, Lab3 SI Analysis, Route, Stream out, step 4) NanoRoute > Route Timing Driven Enable SI Driven Enable 按 Attribute Net Type(s) Enable Clock Nets Enable Weight 10 Spacing 1 Avoid Detour True 按 OK, 離開 Attribute 畫面在 NanoRoute 的畫面按 OK (Day 2, Lab3 SI Analysis, Route, Stream out, step 9) 回到 unix terminal 執行 %./addbonding_tpz.pl CHIP.def 產生 bondpads.md, bondpads.eco 在 encounter terminal 輸入 source bondpads.cmd, 檢查是否有 Bounding Pad 接上, 且 Power Pad 都接短的 Bonding pad (Day 2, Lab3 SI Analysis, Route, Stream out, step 10) 這一步都不用做, 我們改到 lvs 及 drc 時再做 (Day 2, Lab3 SI Analysis, Route, Stream out, step 11) Design > Save > GDS Output Stream File CHIP.gds Map File streamout.map Unit 1000 其他不動按 OK 跟 Lab 中不一樣的是這邊 Memory 的 gds 我們改由 Virtuoso Stream out 出來, 因為在本專題中如果由 SOC Encounter stream out 的話 gds 檔出錯

DAY 3 Stream Out with Virtuoso 輸入下面的指令 cd../replace cp../run/chip.gds. icfb& File > Import > Stream Input File CHIP.gds Top Cell Name CHIP Library Name CHIP ASCII Technology Virtuoso4.4_0.13um_Ver2.1a.1.tf File Name 按 OK, 等到跳出一個小視窗說 OK 才進行下一步 File > Import > Stream Input File./GDSII/tsmc13gfsg_fram.gds Top Cell Name ( 記得清成空白 ) 按 OK, 等到跳出一個小視窗說 OK 才進行下一步 File > Import > Stream Input File./GDSII/tpz013g3.gds Top Cell Name ( 記得清成空白 ) 按 OK, 等到跳出一個小視窗說 OK 才進行下一步 File > Import > LEF LEF File Name RF1SHD_64x8.vclef Target Library Name CHIP 按 OK 在 CIW 底下的 command line 輸入 load( t13abs2lay.ile ) 按 LVS Tools for TSMC13 > Copy Abs to Lay Library CHIP Cell Name RF1SHD_64x8 按 OK

File > Open Library fcell Name View Name 按 OK CHIP CHIP layout 在 LSW 的視窗上選 METAL2 pin 的選項在 layout 上按小寫 L, Label DVDD Height 10 按 Hide 此時會有個 DVDD 的 label 跟著滑鼠走 移動到 PVDD2POC 的 bond pad 上按滑鼠左鍵一下 放的位置如下, 可用 Shift+f 切喚看到 cell 內部的線,Ctrl+f 切換回來 同樣的方法, 打一個 DVSS 的 label 在 PVSS2DGZ 的 bond pad 上 Design > Save 回去 icfb 視窗, 按照之前 lab 的流程做 stream out 的動作 DRC, LVS with Calibre 所需檔案放在 verify/drc/ 以及 verify/lvs/ 中 DRC 注意事項 :( 指令 :calibre -drc Calibre-drc-cur) 1. 自行修改 Calibre drc cur 中的 LAYOUT PATH 和 LAYOUT PRIMARY 2. 在 DRC report 的最下面若看到 TOTAL DRC Results Generated: 21 (21) 表 示成功了

LVS 注意事項 :( 指令 :calibre -lvs -spice CHIP.spi -hier -auto Calibre-lvs-cur) 1. 自行準備 RAM 的 black box LVS 所需要的檔案 RF1SHD_64x8.v 內容如下 : module RF1SHD_64x8 ( Q, CLK, CEN, WEN, A, D); output [7:0] Q; input CLK; input CEN; input WEN; input [5:0] A; input [7:0] D; endmodule RF1SHD_64x8.spi 內容如下 :.SUBCKT RF1SHD_64x8 + VDD VSS Q[0] Q[1] Q[2] Q[3] Q[4] Q[5] Q[6] Q[7] CLK CEN WEN + A[0] A[1] A[2] A[3] A[4] A[5] + D[0] D[1] D[2] D[3] D[4] D[5] D[6] D[7].ENDS 2. 將用來進行 APR 的 netlist 轉換成 spice 格式, 用來和 layout 比對 v2lvs v ( 用來 APR 的 netlist 名稱 ) l RF1SHD_64x8.v l tsmc13gfsg_fram_lvs.v l tpz013g3_lvs.v o CHIP.spi s RF1SHD_64x8.spi s tsmc13gfsg_fram_lvs.spi s tpz013g3_lvs.spi s1 VDD s0 VSS 3. 自行修改 Calibre lvs cur 中的 LAYOUT PRIMARY,LAYOUT PATH,SOURCE PRIMARY,SOURCE PATH, 並在檔案最後一行加上 LVS BOX RF1SHD_64x8 4. 在 LVS report 裡看到笑臉便成功了