单片机学习教程

Size: px
Start display at page:

Download "单片机学习教程"

Transcription

1

2 . 89S p F : BB 2. : ( --- ) : : I 2 C 1. X24C02 MCS : 22H 24C02 50H 3. : ; 8 4. :I2C. / : 2. 2:

3 LED 1 89S LED 2 ORG 0000H START:MOV P1,# B LCALL DELAY MOV P1,# B LCALL DELAY MOV P1,# B LED 1 LED 1 LED LCALL DELAY MOV P1,# B LCALL DELAY 2

4 MOV P1,# B LCALL DELAY MOV P1,# B LCALL DELAY MOV P1,# B LCALL DELAY MOV P1,# B LCALL DELAY MOV P1,# B AJMP START DELAY: MOV R4,#2, M 250 L3: MOV R2,#250 L1: MOV R3,#250 L2: DJNZ R3,L2 DJNZ R2,L1 DJNZ R4,L3 RET END DP1 OFF DP1 ON 2 EPro 2 3 WAVE bin hex ISP 3

5 WAVE bin hex DP1 P1.7 P1.6 P1.5 OFF P1.7 P1.6 P DP1 P1.7 P1.6 P1.5 OFF DP1 P1.7 P1.6 P1.5 OFF DP1 P1.7 P1.6 P1.5 OFF

6 f=12mhz 1 DS01 MOV R4 #20 1 D1 MOV R5 #249 1 D2 DJNZ R5 D2 2 DJNZ R4 D *249= *250=5001 RET =5003 =5003*1= DS01 MOV R1 #200 LP MOV D1 MOV R4 #20 R5 #249 D2 DJNZ R5 D2 DJNZ R4 D1 DJNZ R1 LP RET P1 7FH BFH DFH EFH F7H FBH FDH FEH P1 00H P1 FFH P1 55H 5

7 P1 AAH ORG 0000H START MOV DPTR #0200H LP1 MOV A #00 MOVC CJNE A #0F0H LP2 OF 0F LJMP START LP2 MOV P1 A LCALL DS01 INC LJMP DPTR LP1 DS01 MOV R1 #200 LP MOV D1 MOV R4 #20 R5 #249 D2 DJNZ R5 D2 DJNZ R4 D1 DJNZ R1 LP RET DB DB 7FH BFH DFH EFH F7H FBH FDH FEH 00H FFH 55H AAH F0H END 1 p1 org 0000h ajmp start org 0030h start mov a,#0ffh clr c mov r2,#08h ; 6

8 loop: rlc a ; mov p1,a ; P1 call delay ; djnz r2,loop ; mov r2,#07h ; loop1: rrc a ; mov p1,a ; P1 call delay ; djnz r2,loop1 ; jmp start ; delay: mov r3,#20 ; d1: mov r4,#20 d2: mov r5,#248 djnz r5,$ djnz r4,d2 2 p1 8 org 0000h ajmp main org 0030h main mov p1,#00h ; lcall delay ; lcall delay ; lcall delay ; mov a,#0feh ; loop: mov p1,a ; lcall delay ; lcall delay ; lcall delay ; rl a ; ajmp loop ; 7

9 delay mov r7,#00h ; tt: mov r6,#00h djnz r6,$ djnz r7,tt ret end ORG 0000H LJMP MAIN ORG 030H MAIN:MOV P1,#0DBH ACALL DELay MOV P1,#06DH ACALL DELay MOV P1,#0B6H ACALL DELay AJMP MAIN delay:mov r7,#255 d1:mov r6,#255 d2:djnz r6,d2 djnz r7,d1 ret end 8

10 1 1 LED LED g f GND a b a b c d e f g h f a g b e d c h a b c d e f g h 1 LED e d GND c dp LED LED P2.6 P V IN4148 9

11 P / F ORG 0000H START MOV DPTR,#TAB MOV A #00H LP MOVC A,@A+DPTR CJNE A,#0FH,START A=F MOV P1 A CLR P2.4 LCALL DS1MS SETB P2.4 INC A LJMP LP DS1MS: MOV R7,#80 DJNZ R7,$ RET TAB: DB 30H,0FCH,0A2H,0A4H,06CH,25H,21H,0BCH,20H,24H END ORG 0000H a_bit equ 20h b_bit equ 21h c_bit equ 22h d-bit equ 23 temp equ 24h 10

12 star: mov temp,#0 ;, 0 stlop: acall display; inc temp; 1 mov a,temp cjne a,#255,next ; 100? mov temp,#0; 100 next: ljmp stlop; display:mov d-bit,#00h mov a,temp ; temp 10 mov b,#100 div ab mov c_bit,a mov a,b mov b,#10 ;10 /10=10 div ab mov b_bit,a ; a mov a_bit,b ; b mov dptr,#numtab ; mov r0,#4 dpl1: mov r1,#250 ; dplop: mov a,a_bit ; MOVC A,@A+DPTR ; 7 mov p0,a ; 7 clr p2.4 ; acall d1ms ; 162 setb p2.4;, mov a,b_bit ; MOVC A,@A+DPTR ; 7 mov p0,a ; 7 clr p2.5 ; acall d1ms ;

13 setb p2.5;, mov a,c_bit ; MOVC A,@A+DPTR ; 7 mov p0,a ; 7 clr p2.6 ; acall d1ms ; 162 setb p2.5;, mov a,d_bit ; MOVC A,@A+DPTR ; 7 mov p0,a ; 7 clr p2.7 ; acall d1ms ; 162 setb p2.5;, djnz r1,dplop ; 250 djnz r0,dpl1 ; 250X4=1000 ret D1MS: MOV R7,#80 DJNZ R7,$ RET numtab: DB 30H,0FCH,0A2H,0A4H,06CH,25H,21H,0BCH,20H,24H END p3.2, org 0000h a_bit equ 20h b_bit equ 21h 10 c_bit equ 22h d_bit equ 23h star: mov a,#00h mov a_bit,a mov b_bit,a 12

14 mov c_bit,a mov d_bit,a stlop: acall display jb p3.2,stlop p3.2=1 p3.2 we: acall display; acall d1ms ; 1ms jnb p3.2,we ; p3.2=0 count:inc a_bit 1 mov a,a_bit cjne a,#10,stlop ; a 10 stlop mov a_bit,#00h ; a=0 inc b_bit ;10 1 mov a,b_bit cjne a,#10,stlop ; 10 mov b_bit,#00h ; 10 inc c_bit ; 1 mov a,c_bit cjne a,#10,stlop mov c_bit,#00h inc d_bit mov a,d_bit cjne a,#10,stlop mov d_bit,#00h ajmp stlop display:mov dptr,#numtab ; mov r0,#4 dpl1: mov r1,#250 ; dplop: mov a,a_bit ; MOVC A,@A+DPTR ; 7 mov p0,a ; 7 clr p2.4 ; 13

15 acall d1ms ; 162 setb p2.4;, mov a,b_bit ; MOVC A,@A+DPTR ; 7 mov p0,a ; 7 clr p2.5 ; acall d1ms ; 162 setb p2.5;, mov a,c_bit ; MOVC A,@A+DPTR ; 7 mov p0,a ; 7 clr p2.6 ; acall d1ms ; 162 setb p2.5;, mov a,d_bit ; MOVC A,@A+DPTR ; 7 mov p0,a ; 7 clr p2.7 ; acall d1ms ; 162 setb p2.5;, djnz r1,dplop ; 250 djnz r0,dpl1 ; 250X4=1000 ret D1MS: MOV R7,#80 DJNZ R7,$ RET numtab: DB 30H,0FCH,0A2H,0A4H,06CH,25H,21H,0BCH,20H,24H END 14

16 . 200HZ 200HZ 1/200 5ms P ms 200HZ. ( 12MHZ) : BB org 0000h bb bit p3.6 star: clr bb acall d1ms setb bb acall d1ms sjmp star d1ms: mov r0,#2 dloop: mov r1,#250 djnz r1,$ 15

17 djnz r0,dloop ret end ORG 0000H LOOP: SETB P3.6 LCALL DELAY CLR P3.6 LCALL DELAY AJMP LOOP DELAY: MOV R7,#25 D1:MOV R6,#25 D2:DJNZ R6,D2 DJNZ R7,D1 RET END DO,RUI,MI,FA SO, LA, XI,DO. ORG 0000H dlv: mov r2,#08h 1kz dlv1:mov r3,#0fah dlv2:cpl p3.6 1khz lcall d5ms 1 djnz r3,dlv2 1 djnz r2,dlv1 mov r2,#10h 2khz dlv3:mov r3,#0fah dlv4:cpl p3.6 2khz lcall d25ms 2 djnz r3,dlv4 16

18 djnz r2,dlv3 sjmp dlv d5ms:mov r7,#0ffh 1 loop:nop nop djnz r7,loop ret d25ms:mov r6,#0ffh 2 lin:djnz r6,lin ret end ORG 0000H LJMP START ORG 000BH LJMP FREDEC ORG 0100H START DIRECT BIT 00H LOWFRE EQU 50H HIGFRE EQU 51H MOV A #25H MOV R1 A MOV TMOD #01H MOV TH0 #49H TH0 TH0 T0 1 MOV TL0 #0AFH T0 T0 MOV LOWFRE #0AFH MOV HIGFRE #49H SETB DIRECT SETB EA SETB ET0 SETB TR0 LCALL SOUND SJMP WEND TH0 TH0 00H CPU T0 T SOUND CLR P1.0 ACALL DELAY SETB P1.0 ACALL DELAY SJMP SOUND P1.0 P1.0 17

19 RET DELAY MOV R0,#04H DE0 MOV R1 A DE1 DJNZ R1 DE1 DJNZ R0 DE0 RET FREDEC JNB DIRECT FREADD INC A MOV R1 A INC HIGFRE MOV TH0 HIGFRE MOV TL0 #0B8H 00H =0 CJNE R1 #100H BACK MOV HIGFRE #49H CPL DIRECT SJMP BACK FREADD DEC A BACK RETI MOV R1 A DEC LOWFRE MOV TH0 LOWFRE MOV TL0 #0B8H CJNE R1 #25H BACK TH0 MOV LOWFRE #0AFH TH0 CPL DIRECT SWEND END 2 : ( --- ) org 0000h ajmp main org 000bh jmp tim0 org 0030h main: mov tmod,#01h ; mov ie,#82h ; start0: mov 30h,#00h ; 18

20 next: mov a,30h mov dptr,#table movc ; mov r2,a jz end0 ;,. anl a,#0fh mov r5,a ; R5 mov a,r2 swap a anl a,#0fh jnz sing ;. clr tr0 jmp d1 sing: dec a mov 22h,a ; 22H. rl a mov dptr,#table1 movc a,@a+dptr ;. mov th0,a ; TH0 mov 21h,a mov a,22h rl a inc a movc a,@a+dptr mov tl0,a ; TL0 mov 20h,a setb tr0 ; d1: call delay inc 30h jmp next 19

21 end0: clr tr0 jmp start0 tim0: push acc push psw mov tl0,20h ;. mov th0,21h cpl p3.6 pop psw pop acc reti delay: mov r7,#02h ; d2: mov r4,#187 d3: mov r3,#248 djnz r3,$ djnz r4,d3 djnz r7,d2 djnz r5,delay ret table1: dw 64260,64400,64524,64580 dw 64684,64777,64820,64898 dw 64968,65030,65058,65110 dw 65157,65178,65217 table: db 82h,01h,81h,94h,84h,0b4h,0a4h,04h,82h,01h,81h,94h,84h,0c4h,0b4h,04h db 82h,01h,81h,0f4h,0d4h,0b4h,0a4h,94h,0e2h,01h,0e1h,0d4h,0b4h,0c4h,0b4h,04h db 82h,01h,81h,94h,84h,0b4h,0a4h,04h,82h,01h,81h,94h,84h,0c4h,0b4h,04h db 82h,01h,81h,0f4h,0d4h,0b4h,0a4h,94h,0e2h,01h,0e1h,0d4h,0b4h,0c4h,0b4h,04h,00 end : ORG 0000H LJMP START 20

22 ORG 000BH ; INC 20H ;, 1 MOV TH0,#0D8H MOV TL0,#0EFH ;12M 10 RETI START: MOV SP,#50H MOV TH0,#0D8H MOV TL0,#0EFH MOV TMOD,#01H MOV IE,#82H MUSIC0: MOV DPTR,#DAT ; DPTR MOV 20H,#00H ; 0 MOV B,#00H ; 0 MUSIC1: CLR A MOVC A,@A+DPTR ; JZ END0 ; 00H, CJNE A,#0FFH,MUSIC5 LJMP MUSIC3 MUSIC5: MOV R6,A INC DPTR MOV A,B MOVC A,@A+DPTR ; R7 MOV R7,A SETB TR0 ; 21

23 MUSIC2: CPL P3.6 MOV A,R6 MOV R3,A CPL A MOV P1,A ; ( ) CPL A MUSIC3: MUSIC4: END0: LCALL DEL MOV A,R7 CJNE A,20H,MUSIC2 ; (20H)=R7? MOV 20H,#00H ;, INC DPTR LJMP MUSIC1 CLR TR0 ; 100 MOV R2,#0DH MOV R3,#0FFH LCALL DEL DJNZ R2,MUSIC4 INC DPTR LJMP MUSIC1 MOV R2,#64H ;, 1 MUSIC6:MOV R3,#00H DEL: LCALL DEL DJNZ R2,MUSIC6 LJMP MUSIC0 DEL3: 22

24 MOV R4,#05H DEL4: DJNZ R4,DEL4 DJNZ R3,DEL3 RET DAT: DB 18H, 30H, 1CH, 10H DB 20H, 40H, 1CH, 10H DB 18H, 10H, 20H, 10H DB 1CH, 10H, 18H, 40H DB 1CH, 20H, 20H, 20H DB 1CH, 20H, 18H, 20H DB 20H, 80H, 0FFH,20H DB 30H, 1CH, 10H, 18H DB 20H, 15H, 20H, 1CH DB 20H, 20H, 20H, 26H DB 40H, 20H, 20H, 2BH DB 20H, 26H, 20H, 20H DB 20H, 30H, 80H, 0FFH DB 20H, 20H, 1CH, 10H DB 18H, 10H, 20H, 20H DB 26H, 20H, 2BH, 20H DB 30H, 20H, 2BH, 40H DB 20H, 20H, 1CH, 10H DB 18H, 10H, 20H, 20H DB 26H, 20H, 2BH, 20H DB 30H, 20H, 2BH, 40H DB 20H, 30H, 1CH, 10H DB 18H, 20H, 15H, 20H DB 1CH, 20H, 20H, 20H 23

25 DB 26H, 40H, 20H, 20H DB 2BH, 20H, 26H, 20H DB 20H, 20H, 30H, 80H DB 20H, 30H, 1CH, 10H DB 20H, 10H, 1CH, 10H DB 20H, 20H, 26H, 20H DB 2BH, 20H, 30H, 20H DB 2BH, 40H, 20H, 15H DB 1FH, 05H, 20H, 10H DB 1CH, 10H, 20H, 20H DB 26H, 20H, 2BH, 20H DB 30H, 20H, 2BH, 40H DB 20H, 30H, 1CH, 10H DB 18H, 20H, 15H, 20H DB 1CH, 20H, 20H, 20H DB 26H, 40H, 20H, 20H DB 2BH, 20H, 26H, 20H DB 20H, 20H, 30H, 30H DB 20H, 30H, 1CH, 10H DB 18H, 40H, 1CH, 20H DB 20H, 20H, 26H, 40H DB 13H, 60H, 18H, 20H DB 15H, 40H, 13H, 40H DB 18H, 80H, 00H end : ORG 0000H LJMP START ORG 000BH INC 20H ;, 1 24

26 MOV TH0,#0D8H MOV TL0,#0EFH ;12M 10 RETI START: MOV SP,#50H MUSIC0: MUSIC1: MOV TH0,#0D8H MOV TL0,#0EFH MOV TMOD,#01H MOV IE,#82H MOV DPTR,#DAT ; DPTR MOV 20H,#00H ; 0MOV B,#00H ; 0 CLR A MUSIC5: MUSIC2: MOVC A,@A+DPTR ; JZ END0 ; 00H, CJNE A,#0FFH,MUSIC5 LJMP MUSIC3 MOV R6,A INC DPTR MOV A,B MOVC A,@A+DPTR ; R7 MOV R7,A SETB TR0 ; CPL P3.6 MOV A,R6 MOV R3,A LCALL DEL MOV A,R7 25

27 CJNE A,20H,MUSIC2 ; (20H)=R7? MOV 20H,#00H ;, INC DPTR INC B MUSIC3: MUSIC4: END0: LJMP MUSIC1 CLR TR0 ; 100 MOV R2,#0DH MOV R3,#0FFH LCALL DEL DJNZ R2,MUSIC4 INC DPTR LJMP MUSIC1 MOV R2,#64H ;, 1 MUSIC6:MOV R3,#00H LCALL DEL DJNZ R2,MUSIC6 LJMP MUSIC0 DEL: DEL3: MOV R4,#02H DEL4: DJNZ R4,DEL4 DJNZ R3,DEL3 RET DAT:db 26h,20h,20h,20h,20h,20h,26h,10h,20h,10h,20h,80h,26h,20h,30h,20h db 30h,20h,39h,10h,30h,10h,30h,80h,26h,20h,20h,20h,20h,20h,1ch,20h 26

28 db 20h,80h,2bh,20h,26h,20h,20h,20h,2bh,10h,26h,10h,2bh,80h,26h,20h db 30h,20h,30h,20h,39h,10h,26h,10h,26h,60h,40h,10h,39h,10h,26h,20h db 30h,20h,30h,20h,39h,10h,26h,10h,26h,80h,26h,20h,2bh,10h,2bh,10h db 2bh,20h,30h,10h,39h,10h,26h,10h,2bh,10h,2bh,20h,2bh,40h,40h,20h db 20h,10h,20h,10h,2bh,10h,26h,30h,30h,80h,18h,20h,18h,20h,26h,20h db 20h,20h,20h,40h,26h,20h,2bh,20h,30h,20h,30h,20h,1ch,20h,20h,20h db 20h,80h,1ch,20h,1ch,20h,1ch,20h,30h,20h,30h,60h,39h,10h,30h,10h db 20h,20h,2bh,10h,26h,10h,2bh,10h,26h,10h,26h,10h,2bh,10h,2bh,80h db 18h,20h,18h,20h,26h,20h,20h,20h,20h,60h,26h,10h,2bh,20h,30h,20h db 30h,20h,1ch,20h,20h,20h,20h,80h,26h,20h,30h,10h,30h,10h,30h,20h db 39h,20h,26h,10h,2bh,10h,2bh,20h,2bh,40h,40h,10h,40h,10h,20h,10h db 20h,10h,2bh,10h,26h,30h,30h,80h,00H END 27

29 RS232 TTL MAX GND 2 RXD 3 TXD MAX WINDOWS 1 I/O 0 I/O 1 0 A 28

30 4014 P S 1 P/S=0 ORG 2000H START CLR P S SETB P S MOV LOOP JNB RI LOOP 8051 SCON 10H 0 RXD TXD 14 P/S CLR RI P1 0 MOV A SBUF END 2 0 CD4094 CD4094 STB 1 8 STB 0 8 DATAL ORG 2000H START MOV SCON 00H CLR P1.0 MOV A,#80H ; ; LOOP: MOV SBUF, #A LOOP1:JNB TI,LOOP1 CLR TI RR A LJMP DS RXD TXD LJMP DS01: LOOP P1 0 (3) I/O =f/12. SCON 29

31 SCON=00H SCON=10H IE=90H IE=00H RI=1 TI=1 RI TI 2 1 T1 T0 GATE C T M1 M0 GATE C T M1 M0 T0 0 =f/12 M1M INT0 INT0 1 = 2 SMOD /32 * T1 2 = 2 SMOD /64 *f 3 = 2 SMOD /32 * T1 PCON= 80 30

32 TCON TCON 88H TF1 TR1 TF0 TR0 IE1 IT1 IE0 IT0 O T0 T0 T1 T1 IE IE A8H 1 0 T 1 T1 2 PC AF 4800KBPS, K1 P AF ORG 0000H MOV SCON,#50H MOV TMOD,#20H MOV PCON,#80H MOV TH1,#0F3H MOV TL1,#0F3H SETB TR1 ; 1 ; T1 2 ; 2400x2=4800BPS ; ( 2400BPS ) ; ( 2400BPS ) ; T1 ; WRIT:JB P3.2 $ ACALL DELAY10 JB P3.2,WRIT ; K1, ; 10 ; 31

33 JNB P3.2,$ MOV A,#0AFH MOV SBUF,A ; ; 16 AF ; AF AJMP WRIT ;10 DELAY10:MOV R4,#20 D2:MOV R5,#248 DJNZ R5,$ DJNZ R4,D2 RET END WINDOWS G 4800 COM K1 AF AF 32

34 89S51 51 rs232 89c51test ic.... ORG 0000H AJMP main0 ORG 0030H main0: MOV 20H,#00H MOV TMOD,#22H MOV SCON,#40H MOV TH1,#0FAH ; MOV PCON,#80H SETB TR1 SETB REN ajmp start 33

35 start: mov dptr,#ok senda: clr a ; movc a,@a+dptr cjne a,#'$',senda_0 ret senda_0:mov sbuf,a JNB TI,$; CLR TI ; inc dptr Ljmp senda ok: db 0dh,0ah,0dh,0ah,".... ",0dh,0ah,'$' end HEX TXT : CD : DFA758780D274 : ED29C E493B F59985 : FDC299A D0A0D0AC8E7B9FC : FBCED2BBB9D3D0D2BBCCECCAD9C3FCA394 : ACC4C7CCECCED2D2AAD7F6C4E3C5AED3BB : D1A1A3CED2BBB9D3D0D2BBCCECB5C4C323 : FCC2F0A3BF2E2EC3BBD3D0A1A3CBF9D2F9 :1000A000D4A3ACBADCBFC9CFA7A1A3CED2BDF1C93E :1000B000FAC8D4C8BBB2BBCAC7C4E3B5C4C5AED3C3 :1000C000D1A1A3C8E7B9FBCED2D3D0B3E1B0F2A39C :1000D000ACCED2D2AAB4D3CCECCCC3B7C9CFC2C0B9 :1000E000B4BFB4C4E3A1A3CED2D3D0B3E1B0F2C2C3 :1000F000F0A3BF2E2EC3BBD3D0A1A3CBF9D2D4A3E0 : ACBADCD2C5BAB6A1A3CED2B4D3B4CBCEEE 34

36 : DEB7A8D4D9BFB4B5BDC4E3A1A30D0A24EA : FF.232 ORG 0000H ; AJMP main0 ORG 0030H main0: MOV SP,#5FH ; MOV TMOD,#22H MOV SCON,#40H MOV TH1,#0F3H ; 4800,(12M MOV PCON,#80H SETB TR1 clr p2.7 ; SETB REN ; loop:jbc ri,rec mov p0,#0ffh rec: mov a,sbuf; a mov p1,a CJNE A,# b,T00 MOV P0,#07eH ; 2 mov p0,#0ffh t00: CJNE A,# b,T2 MOV P0,#0A2H ; 2 mov p0,#0ffh T2: CJNE A,# b,T3 MOV P0,#62H T3: CJNE A,# b,T4 MOV P0,#74H 35

37 T4: CJNE A,# b,T5 MOV P0,#61H T5: CJNE A,# b,T6 MOV P0,#21H T6: CJNE A,# b,T7 MOV P0,#7AH T7: CJNE A,# b,T8 MOV P0,#20H T8: CJNE A,# b,t9 MOV P0,#60H T9: CJNE A,# b,t10 MOV P0,#28H t10:ljmp loop delay: mov r7,#00h ; tt: mov r6,#00h djnz r6,$ djnz r7,tt ret end 36

38 I 2 C I 2 C 1 I2C I 2 C CPU I 2 I 2 C I 2 C 80C552 83C752 I 2 C I2C 2 I 2 C I 2 C SDA SCL SRAM E 2 PROM ADC/DAC RTC I/O DTMF LED E 2 PROM I 2 C 100Kb/S 400PF I 2 C CMOS I 2 C 5 10K +5V LED LCD I/O I/0 SDA SCL SDA SCL SDA SCL SDA SCL SDA SCL SDA SCL SDA SCL SDA SCL SDA SCL DTMF ADC/DAC MCU EEPROM 37

39 I2C 1 E 2 PROM / / I 2 C 3 E 2 PROM E2PROM 1010 E2PROM E2PROM A2A1A0 R/W I 2 C 38

40 WC01/02/04/08/ WC32/64/128/ WC01/02/04/08/ WC32/64/128/256 39

41 24WC02 20H 2Kb-21X8b / WC01/02/04/08/ WC32/64/128/256 4 I2C 40

42 X24C02 MCS-51 AT24C02 ATMEL CMOS EEPROM V ms P2.1 P2.0 AT24C SDA / I2C P2.0 6 SCL P2.1 SDA SCL 5.1K 7 24C02 1 8, 0600H 8 24C02 01H ORG 0000H SCL BIT P1.1; 24C02 SDA BIT P2.0; 24C02 LJMP START START:LCALL STAR; MOV R2,#08H; 8 MOV DPTR,#0600H; LOOP: MOV A,#00H MOVC A,@A+DPTR LCALL SDATA LCALL ACK JC LOOP 41

43 INC DPTR DJNZ R2,LOOP LCALL STOP; STAR: SETB SDA SETB SCL CLR SDA CLR SCL RET SDATA:MOV R0,#08H LOOP0:RLC A MOV SDA,C SETB SCL CLR SCL DJNZ R0,LOOP0 RET ACK:SETB SDA 42

44 SETB SCL MOV C,SDA CLR SCL RET STOP:CLR SDA SETB SCL SETB SDA RET ORG 0600H DB 0A0H,10H,01H,02H,03H,04H,05H,06H END 43

45 : 22H 24C02 50H ORG 0000H ; 22H 24C02 50H SCL BIT P2.1 SDA BIT P2.0 LJMP START START:SETB SDA SETB SCL CLR SDA CLR SCL MOV R0,#08H MOV A,#0A0H LOOP0:RLC A MOV SDA,C SETB SCL CLR DJNZ SCL R0,LOOP0 44

46 SETB SDA SETB SCL MOV CLR C,SDA SCL JC START MOV A,#50H MOV R0,#08H LOOP1:RLC MOV A SDA,C SETB SCL CLR DJNZ SCL R0,LOOP1 SETB SDA 45

47 SETB SCL MOV C,SDA CLR SCL JC START MOV A,#22H MOV R0,#08H LOOP2:RLC A MOV SDA,C SETB SCL CLR SCL DJNZ R0, LOOP2 SETB SDA SETB SCL MOV C,SDA CLR SCL JC START 46

48 CLR SDA SETB SCL SETB SDA END : ; 8 ORG 0000H SCL BIT SDA BIT P2.1 P2.0 LJMP START START:MOV R3,#0DH MOV DPTR,#0600H LCALL STA WRDA:MOV A,#00H MOVC A,@A+DPTR LCALL WRBYT LCALL CACK JB F0,START INC DPTR 47

49 DJNZ R3,WRDA LCALL STOP LJMP START STA:SETB SDA ; SETB SCL CLR SDA CLR SCL RET WRBYT:MOV WLP:RLC R0,#08H A ; SDA ACC JC WR1 SJMP WR0 WLP1:DJNZ R0,WLP RET WR1:SETB SDA SETB SCL CLR SCL CLR SDA SJMP WLP1 WR0:CLR SDA SETB SCL CLR SCL 48

50 SJMP WLP1 CACK:SETB SDA ; SETB SCL CLR F0 JNB SDA,CEND SETB F0 CEND:CLR SCL RET STOP:CLR SDA ; SETB SCL SETB SDA CLR SCL RET ORG 0600H DB 0A0H,00H,01H,02H,03H,04H,05H,06H,07H,08H DB 09H,0AH, 0BH,0DH,55H,56H,57H END :I2C P2.1 BIT VSCL P1.0 BIT VSDA ;---- I2C START:SETB VSDA SETB VSCL 49

51 CLR VSDA CLR VSCL RET ---- I2C STOP CLR VSDA SETB VSCL SETB VSDA CLR VSDA CLR VSCL RET MACK CLR VSDA SETB VSCL CLR VSCL SETB VSDA RET MNACK SETB VSDA SETB VSCL CLR VSCL 50

52 CLR VSDA RET ---- RMACK SETB VSDA SETB CLR F0 VSCL MOV C VSDA JNC CEND SETB F0 CEND CLR VSCL RET WRBYT MOV R0 #08H CLR VSCL CLR VSDA LOOP1: RLC A MOV VSDA, C SETB VSCL CLR VSCL CLR VSDA DJNZ R0, LOOP1 RET RDBYT:MOV R0,#08H CLR VSCL RLP SETB VSDA SETB VSCL MOV C VSDA 51

53 RLC A CLR VSCL DJNZ R0 RLP RET 52

54 /. / INT0 INT0 88H TCON TF1 TR1 TF0 TR0 IE1 IT1 IE0 IT0 T0 T0 1 O 1 0 T0 T1 GATE C T M1 M0 GATE C T M1 M0 M1M T0 10 T1 3. T1 1 T T1 1 0 IE A8H 53

55 4. / 2 n -X * 0 :N=13 1 N=16 2 N=8 G /. / 1 P1.0, 0.2 P1.1, 7 P1.7, P1.6, 7 P1.0. ORG 0030H MOV TMOD,#00H START:CLR C MOV A,#0FFH MOV R2,#08 LOOP: RLC A MOV P1,A MOV R3,#100 CALL DELAY DJNZ R2,LOOP MOV R2,#07 LOOP1:RRC A MOV P1,A MOV R3,#100 CALL DELAY DJNZ R2,LOOP1 ; ; TIMER0 MODE0 ;C=0 ;ACC=FFH, ;R2=08, 8 ; ; P1 ;0.2 ;2000 ; 8 ;R2=07, 7 ; ; P1 ;0.2 ;2000 ; 7 54

56 JMP START DELAY:SETB TR0 AGAIN:MOV TL0,#( ) MOV TH0,#( ) LOOP2:JBC TF0,LOOP3 JMP LOOP2 ; TEMER0 ; TL0 ; TH0 ;TF0 1, LOOP3, TF0 ; LOOP2 LOOP3: DJNZ R3,AGAIN CLR TR0 ;R3 0? AGAIN ; TIMR0 RET END P3.4 1 ORG 0000H a_bit equ 20h b_ bit equ 21h c_bit equ 22h d-bit equ 23 LJMP START ORG 000BH LJMP ZDFU ORG 0100H START MOV 20H #00 0 MOV 21H #00 MOV 22H #00 MOV 23H #00 MOV A #00 MOV TCOM #05H T0 1 55

57 SETB TR0 LP CJNE A #255 DAPY 255 LJMP START DAPY: mov b,#100 div ab mov c_bit,a mov a,b mov b,#10 ;10 /10=10 div ab mov b_bit,a ; a mov a_bit,b ; b mov dptr,#numtab ; mov r0,#4 dpl1: mov r1,#250 ; dplop: mov a,a_bit ; MOVC A,@A+DPTR ; 7 mov p0,a ; 7 clr p2.4 ; acall d1ms ; 162 setb p2.4;, mov a,b_bit ; MOVC A,@A+DPTR ; 7 mov p0,a ; 7 clr p2.5 ; acall d1ms ; 162 setb p2.5;, mov a,c_bit ; MOVC A,@A+DPTR ; 7 mov p0,a ; 7 clr p2.6 ; acall d1ms ;

58 setb p2.5;, mov a,d_bit ; MOVC A,@A+DPTR ; 7 mov p0,a ; 7 clr p2.7 ; acall d1ms ; 162 setb p2.5;, djnz r1,dplop ; 250 djnz r0,dpl1 ; 250X4=1000 LJMP LP D1MS: MOV R7,#80 DJNZ R7,$ RET numtab: DB C0H,F9H,A4H,B0H,99H,92H,82H,F8H,80H,90H,88H ZDFU INC A RETI END 57

59 . 1. TI RI IT0=0 IT0=1 IT0=0 1 IT0=1 TCON IE0 TF0 IE1 TF1 TI RI IT0=0 EX0 ET0 EX1 ET1 ES EA IP H T 1 001BH T 0 000BH 0023H H 0023H 3. IE A8H 1 0 T 1 T1 4. 1). IP IP * * * PS PT1 PX1 PT0 PX0 PX PT / T0 58

60 PX PT / T1 PS ). SCON 9F 9E 9D 9C 9B 9A SM0 SM1 SM2 REN TB8 3). RB8 TI RI TCON 88H TF1 TR1 TF0 TR0 IE1 IT1 IE0 IT0 O T0 T0 T1 T1.. 1 : P1 8 LED INT0 P1 8 LED 5 ORG 0000H JMP START ORG 0003H JMP EXT0 ; ; START ;INT0 ; START: MOV IE,# B ;INT0 MOV IP,# B MOV TCON,# B MOV SP,# 70H ; ;INT0 ;INT0 ; LOOP: MOV A,#0FFH ; CLR C MOV R2,#08 ;C=0 ; 8 LOOP1: RLC A ; C MOV P1,A ; P1 59

61 CALL DELAY ; 0.2 DJNZ R2,LOOP1 MOV R2,#07 ; 8 ; 7 LOOP2: RRC A ; C EXT0: LOOP3: MOV P1,A CALL DELAY DJNZ R2,LOOP2 JMP LOOP PUSH ACC PUSH PSW SETB RS0 CLR RS1 MOV A,#00 MOV R2,#10 MOV P1,A CALL DELAY CPL A DJNZ R2,LOOP3 POP PSW POP ACC RETI ; P1 ; 0.2 ; 7 ; ; ; PSW ; 1 ; P1 ; 5 (, 10 ) ; A P1 ; 0.2 DELAY: MOV R5,#20 ;0.2 ; A ; 5 ( 10 )? ; PSW ; A ; D1: MOV R6,#20 ;10 D2: MOV R7,#248 ;0.5 DJNZ R7,$ DJNZ R6,D2 DJNZ R5,D1 RET END 2. : 8 p32 org 00h; jmp start; org 03h;int0 p32 60

62 jmp ext0; start: mov ie,# b; mov ip,# b; mov tcon,# b; mov sp,#70h; main:; mov p1,#00h ; lcall delay ; mov a,#0feh ; loop: mov p1,a ; p1 lcall delay ; rl a ; ajmp loop ; main ext0: push acc ; A A push psw ; MOV R1,#10 LP: cpl p3.6; call del ; SETB p3.6 DJNZ R1,LP pop psw ; pop acc reti delay:mov r5,#20; 1 d1: mov r6,#20 d2: mov r7,#250 djnz r7,$ djnz r6,d2 djnz r5,d1 ret del: ; 2 61

63 mov r4,#250 djnz r4,$ ret end 62

64 1: : , P1.0,P1.1, P1.2,P1.3, P1.4,P1.5, P1.6,P1.7, ORG 0000H START:CLR P2.4 SETB P2.5 SETB P2.6 SETB P2.7 MOV P0,#0C0H 0C0h p0 0 LCALL DELAY MOV P0,#0FFH CLR P1.0 0ffh p0 p1.0 MOV P0,#0F9H 0F9h p0 1 LCALL DELAY MOV P0,#0FFH CLR P1.1 MOV P0,#0A4H ; p1.0 ; 2 LCALL DELAY MOV P0,#0FFH CLR P1.2 MOV P0,#0B0H ; 3 LCALL DELAY MOV P0,#0FFH CLR P1.3 MOV P0,#99H ; ; 4 63

65 LCALL DELAY MOV P0,#0FFH CLR P1.4 MOV P0,#92H ; 5; LCALL DELAY MOV P0,#0FFH CLR P1.5 MOV P0,#82H ; 6 LCALL DELAY MOV P0,#0FFH CLR P1.6 MOV P0,#0F8H ; 7 LCALL DELAY MOV P0,#0FFH CLR P1.7 MOV P0,#80H ; 8 LCALL DELAY MOV P0,#0FFH LCALL DELAY MOV P1,#0FFH p p1.7,. SETB P2.4 8 CLR P2.5 MOV P0,#0C0H LCALL DELAY MOV P0,#0FFH CLR P1.0 MOV P0,#0F9H LCALL DELAY 64

66 MOV P0,#0FFH SETB P1.0 CLR P1.1 MOV P0,#0A4H LCALL DELAY MOV P0,#0FFH SETB P1.1 CLR P1.2 MOV P0,#0B0H LCALL DELAY MOV P0,#0FFH SETB P1.2 CLR P1.3 MOV P0,#99H LCALL DELAY MOV P0,#0FFH SETB P1.3 CLR P1.4 MOV P0,#92H LCALL DELAY MOV P0,#0FFH SETB P1.4 CLR P1.5 MOV P0,#82H LCALL DELAY MOV P0,#0FFH SETB P1.5 CLR P1.6 MOV P0,#0F8H LCALL DELAY 65

67 MOV P0,#0FFH SETB P1.6 CLR P1.7 MOV P0,#80H LCALL DELAY MOV P0,#0FFH SETB P1.7 MOV P0,#0FFH MOV P1,#0FFH p1 AJMP START DELAY: CLR P MOV R7,#255 D1: MOV R6,#255 setb p3.6 D2: DJNZ R6,D2 clr p3.6 DJNZ R7,D1 SETB P3.6 RET END 2: : p p

68 p3.4 2 p3.5 3, JB bit, rel bit 1 JNB bit, el bit 0 org 0000h ljmp start org 30h start: mov sp,#5fh mov p1,#0ffh CLR P2.4 SETB P2.5 SETB P2.6 SETB P2.7 mov p3,#0ffh mov p0,#0ffh l1: jnb p3.2,l2 p3.2 l2 jnb p3.3,l3 p3.3 l3 jnb p3.4,l4 jnb p3.5,l5 p3.4 l4 p3.5 l5 ljmp l1 l2: mov p0,#0c0h; 0 ljmp l1 l3:mov p0,#0f9h; 1 ljmp l1 l4:mov p0,#0a4h; 2 ljmp l1 l5: mov p0,#0b0h; 3 ljmp l1 end 67

69 3 p3.2. P3.2 p P3. 4 p P3.5 p0.o P0. 2 P0.4 P0.6 p0.1 P0.3 P0.5 P0.7 ORG 0000H LJMP START ORG 0003H LJMP STOP ORG 000BH LJMP LP1 ORG 0013H LJMP LP2 ORG 001BH LJMP LP3 ORG 0023H ORG 0100H START:MOV TL0 #0FEH MOV TH0 #0FEH MOV TL1 #0FEH MOV TH1 #0FEH MOV TMOD # B T0 T1 2 SETB IT0 SETB 1T1 SETB TR0 SETB TR1 MOV IE # B LJMP $ 68

70 LP1 MOV R0 #02H 2 MOV P1 #0FFH LCALL DL05S MOV P1 #0FEH LCALL DL05S MOV P1 #0FCH LCALL DL05S MOV P1 #0F8H LCALL DL05S MOV P1 #0F0H LCALL DL05S MOV P1 #0E0H LCALL DL05S MOV P1 #80H LCALL DL05S MOV P1 #00H LCALL DL05S MOV P1 #FFH RETI LP2:MOV R0 #08H MOV A,#0FEH LPP:MOV P1,A LCALL DL05S RL A DJNZ R0 LPP RETI LP3 MOV R0 #04H 4 MOV A # B LPPP MOV P1 A 69

71 LCALL DL05S CPL A DJNZ R0 LPPP RTEI DL05S:MOV R4,#0AH LOOP3: LCALL DL10MS DJNZ R4,LOOP3 RET End 70

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

Microsoft Word - P3.doc

Microsoft Word - P3.doc ;P3.3 警报器 ;* ( 程序转载请著明出处, 未声明可适应本站腾龙 /isp 开发套件 / 倚天版开发套件 * ;* E-mail:sxj1974@163.com (51c51 test web) 51 测试网, 自学单片机的网站 * ;* Create by : 石学军 www.51c51.com www.8951.com 更多例程请登陆网站 * org 00h ajmp dlv org 0030h

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

CA24064B LED LED LED EL EL CCFL EL CCF /

CA24064B LED LED LED EL EL CCFL EL CCF / CA24064B 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 39 2 6 0755-81995643/27890716 0 13713911853 0755-27890716 1 : VDD-VSS 0 6 V LCD VDD-V0 Ta=25 0 28.0 V VI 0 VDD V : VDD-VSS --- 4.75 5.0 5.25 V

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

W77E58中文手册

W77E58中文手册 W77E58 77E58 8051 8051 8051 77E58 8051 1.5 3 COMS 32K EEPROM 1K SRAM 1 8 2 40M 4 3 8051 4 8051 5 4 8 I/O 6 4 I/O 44 PLCC QFP 7 16 / 8 12 9 10 11 1K 12 13 16 DPTR 14 15 DIP40 W77E58 25/40 PLCC44 W77E58P

More information

專題最終版.doc

專題最終版.doc The Principle and Application of the Electric Combination Lock The Principle and Application of the Electric Combination Lock Abstract Recently, there are more and more burglaries in the society. It's

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

*_* IAP In Applications Program, *_* ROM RAM A/D D/A KELL C51 2 0531-86213622 Micro Controller Unit( ) INTEL MCS-48 MCS-51 MCS-96 51 MCS-51 8 MCS-96 16 INTEL / 51 87 LPC W78L DS87 GSM97 ATMEL 89C51

More information

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32--

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32-- HD61202 C-7 1 HD61202 HD61202 8 HD61203 HD61202, HY-12864 HY-19264 HD61202 HD61202 HD61203 HD61202 1 6464=4096 RAMRAM LCD 2HD61202 64 3HD61202 68 68 4HD61202 1/32--1/64 HD61202 HD61202 2 CS1,CS2,CS3 CS1

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63>

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63> 单 片 机 及 接 口 技 术 实 验 指 导 书 张 勇 编 计 算 机 与 通 信 工 程 学 院 信 息 与 通 信 工 程 系 2004.12 1 - 前 言 单 片 机 及 接 口 技 术 是 通 信 工 程 专 业 的 专 业 技 能 课 程, 在 专 业 知 识 结 构 体 系 中 具 有 十 分 重 要 的 地 位, 课 程 的 实 践 性 很 强, 学 习 必 须 理 论 和 实

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS KS0108 KS0108 8 KS0107 KS0108 KS0108 128*32128*64 192*64 KS0108 KS0108 KS0107 KS0108 1 6464=4096 RAMRAM LCD 2KS0108 64 3KS0108 68 68 4KS0108 1/48--1/64 KS0108 CS1,CS2,CS3 CS1 CS2 CS3 E E KS0108 E R/W R/W=1

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

µPSD3000 系列

µPSD3000 系列 8032 FLASH MCU µpsd3251f-40t6 µpsd3251 8032 FlashPSD Flash SRAM I/O I 2 C, ADC and PWM 8032, 16 / FlashPSD µpsd32 JTAG ISP 2K SRAM Flash - 64K Flash - 16K Flash - Flash 8032 12 I2C ADC 6 I/O 37 I/O 16

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

逢 甲 大 學

逢    甲    大    學 論 車 Auto Vehicle 立老 磊 年 老 立老 了 見 老 了不 料 利 了 識 更了 力量! i 車. 車 利 89c51 來 流. 令. 車 8051 類 車利 車 ii Abstract The goal of this thesis is to design a small auto vehicle by using IC as its control center. Our auto

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

Microsoft Word - ISSFA-0134_A_AP_User-definedDownload_SC_.doc

Microsoft Word - ISSFA-0134_A_AP_User-definedDownload_SC_.doc 自定义下载应用说明 一 适用产品 :SM59XX 系列 SM59D XX 系列 SM59R XX 系列二 应用方式 : 可以让使用者自定义 command 作为进入 ISP 刻录的通关指令, 透过 UART 连接 ISAP 软件做联机更新三 操作说明 ( 使用 SM59D04G2 为例 ): 1. ISAP 操作方式 : 1.1 先将主程序及 ISP 服务程序烧进 MCU 中 1.2 将 MCU 放至系统版上,

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

1

1 W7E65 1. W7E65 ISP Flash EPROM ISP Flash EPROM 52 W7E65 64K Flash EPROM 4K Flash EPROM 4K Flash EPROM loader 64K ROM 1K RAM 4 I/O 4 I/O P4 3 16 / 2 W7E65 Flash EPROM W7E65 2 2 2. CMOS 12 64K ISP Flash

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本

电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本 AT89C51 体 重 称 电 路 图 原 理 本 文 设 计 一 种 利 用 电 阻 应 变 式 压 力 传 感 器 和 MCS-51 单 片 机 等 器 件 制 作 的 体 重 秤 该 体 重 秤 的 量 程 为 100kg, 能 实 现 称 重 数 码 显 示 调 零 等 功 能 该 体 重 秤 利 用 电 桥 测 量 原 理, 将 压 力 应 变 传 感 器 阻 值 转 换 为 电 压 值,

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

PowerPoint 簡報

PowerPoint 簡報 微算機原理與實驗 (UEE 2301/1071 ) Chap 5. MCS-51 Addressing Modes 宋開泰 Office:EE709 Phone:5731865( 校內分機 :31865) E-mail:ktsong@mail.nctu.edu.tw URL:http://isci.cn.nctu.edu.tw 1 Addressing Modes Addressing mode is

More information

Microsoft PowerPoint - chap5.ppt

Microsoft PowerPoint - chap5.ppt 邏輯運算指令的動作 0 1 0 1 0 0 1 1 OR 1 0 1 1 1 0 0 1 1 1 1 1 1 0 1 1 (a) OR 運算 0 1 0 1 0 0 1 1 XOR 1 0 1 1 1 0 0 1 1 1 1 0 1 0 1 0 (c) XOR 運算 希望設定為 1 的位元 罩網標的位元組 新標的位元組 不改變的位元 希望取補數的位元 罩網標的位元組 新標的位元組 不改變的位元 1

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

??????????????????

?????????????????? 2011 3 1 a)8088/8086 b) 1 2 3 -(2) - 48 (48 6) 1 2 http://www.zlgmcu.com/home.asp http://hx51.com/index.asp http://www.daqchina.net/daqchina/chips DAQCHINA HTTP://WWW.QFMCU.COM/ HTTP://MYGO.7I24.COM/ HTTP://WWW.HSEDA.COM/

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

00 sirius 3R SIRIUS 3R 3RV1 0A 1 3RT1 3RH1 3 3RU11/3RB SIRIUS SIRIUS TC= / 3RV1 A 1 IEC6097- IP0 ( IP00) 1/3 IEC6097- (VDE0660) DIN VDE 06 0 AC690V, I cu 00V 1) P A n I n I cu A kw A A ka S00 0.16 0.0

More information

Ⅰ Ⅱ 2 Ⅲ 2 Ⅳ 耀

Ⅰ Ⅱ 2 Ⅲ 2 Ⅳ 耀 面 向 2 世 纪 高 等 学 校 计 算 机 类 专 业 十 一 五 规 划 教 材 单 片 机 原 理 及 接 口 技 术 ( 第 二 版 ) 余 锡 存 曹 国 华 编 著 西 安 电 子 科 技 大 学 出 版 社 2 0 0 7 Ⅰ Ⅱ 2 Ⅲ 2 Ⅳ 耀 .,, 0,.., : ()0 :0 2 9; (2), 555.54 5 500 50 5 0.5, 555.5=50 2

More information

诺贝尔生理学医学奖获奖者

诺贝尔生理学医学奖获奖者 诺 贝 尔 生 理 学 医 学 奖 获 奖 者 1901 年 E.A.V. 贝 林 ( 德 国 人 ) 从 事 有 关 白 喉 血 清 疗 法 的 研 究 1902 年 R. 罗 斯 ( 英 国 人 ) 从 事 有 关 疟 疾 的 研 究 1903 年 N.R. 芬 森 ( 丹 麦 人 ) 发 现 利 用 光 辐 射 治 疗 狼 疮 1904 年 I.P. 巴 甫 洛 夫 ( 俄 国 人 ) 从 事

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

四、通 讯 规 约

四、通 讯 规 约 HY-ML2000 RS232 RS422 RS-485 9 RS232 1----GND 3---RXD 4---TXD RS422 6--T+,7--T-,8--R-, 9--R+ 00 0FFH 256 0# 1# 2# 3# 4# 5# 6# 7# 8# 14# 18# HY-ML2000 ( ) 0# ( BJ DISA ) 1 EB 90 EB 90 02...( )... (L) (H)

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

dfgdafhdafhdafhdafh

dfgdafhdafhdafhdafh 逢 甲 大 學 自 動 控 制 工 程 學 系 專 題 製 作 專 題 論 文 數 位 式 電 子 秤 之 研 製 Design Study of Digital Electronic Weighing Sensor 指 導 教 授 : 賴 啟 智 學 生 : 劉 人 豪 俞 仲 維 中 華 民 國 九 十 八 年 四 月 二 十 二 日 ii . 誌 謝 本 文 承 蒙 賴 啟 智 老 師 指 導,

More information

因 味 V 取 性 又 鸟 U 且 最 大 罗 海 惜 梅 理 春 并 贵 K a t h l ee n S c h w e r d t n er M f l e z S e b a s t i a n C A Fe rs e T 民 伊 ' 国 漳 尤 地 视 峰 州 至 周 期 甚 主 第 应

因 味 V 取 性 又 鸟 U 且 最 大 罗 海 惜 梅 理 春 并 贵 K a t h l ee n S c h w e r d t n er M f l e z S e b a s t i a n C A Fe rs e T 民 伊 ' 国 漳 尤 地 视 峰 州 至 周 期 甚 主 第 应 国 ' 东 极 也 直 前 增 东 道 台 商 才 R od e ric h P t ak 略 论 时 期 国 与 东 南 亚 的 窝 贸 易 * 冯 立 军 已 劳 痢 内 容 提 要 国 与 东 南 亚 的 窝 贸 易 始 于 元 代 代 大 规 模 开 展 的 功 效 被 广 为 颂 扬 了 国 国 内 市 场 窝 的 匮 乏 窝 补 虚 损 代 上 流 社 会 群 体 趋 之 若 鹜 食 窝

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8

! *!#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( / )! ( ) 3SB3! Ø22mm!# ( / ) 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( ) 7, 10 7, 9 7, 8 SIRIUS 3SB3 sirius s ! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8! (2 /3 ) ( / ) RONIS! ( SB) CES

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

TouchWin Human Machine Interface

TouchWin    Human Machine Interface Human Machine Interface TP 1 2 3 1 2 3 4 5 TP 1 PLC 113 TouchWin / 2 TouchWin PLC Programmable Logical Controller PLC CAD/CAM PLC I/O 3 TouchWin...3...4...6 1... 6 1-1... 7 1-2... 8 1-3... 10 1-4... 13

More information

E170C2.PDF

E170C2.PDF IQ E170C2 2002.3. Rotork Rotork * ( ) * * RotorkIQ - IQ * * PC IQ Insight / Rotork * - Rotork IQ www.rotork.com 5 10 5.1 11 1 2 5.2 11 2 3 5.3 11 3 IQ 3 5.4 11 3.1 3 5.5 IQM12 3.2 3 5.6 IQML12 3.3 4 5.7

More information

该 奈 自 受 PZ 多 透 soc i e B t h y. y t is NA YL OR exp os ed t h a t b e i n g wh o res or sa in t es s e s we r e m ad e n b ot om. M ean wh i l e NA YL

该 奈 自 受 PZ 多 透 soc i e B t h y. y t is NA YL OR exp os ed t h a t b e i n g wh o res or sa in t es s e s we r e m ad e n b ot om. M ean wh i l e NA YL 探 性 通 性 圣 重 ' 颠 并 格 洛 丽 亚 奈 勒 小 说 贝 雷 的 咖 啡 馆 对 圣 经 女 性 的 重 写 郭 晓 霞 内 容 提 要 雷 的 咖 啡 馆 中 权 社 会 支 配 的 女 性 形 象 美 国 当 代 著 名 黑 人 女 作 家 格 洛 丽 亚 过 对 6 个 圣 经 女 性 故 事 的 重 写 奈 勒 在 其 小 说 贝 覆 了 圣 经 中 被 父 揭 示 了 传 统

More information

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20 (Jump) (Loop) (Conditional jump) CMP CALL AND SAR/SHR TEST JMP NOT SAL/SHL Jnnn* OR RCR/ROR LOOP XOR RCL/ROL RETn * nnn, JNE JL -128 127-32,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far

More information

車在走天在看 PC前的三輪車日記

車在走天在看 PC前的三輪車日記 車 PC 輪車 老 : 林 : 龍 : 車 :2005/6/27 1. 2. 3. 4. 理 5. 6. 論 7. 論 1. 車 藍 89C51 串列 車 類 不 類 2. 利 路 料 連 車 利 A.B. 藍 串列 藍 車 六 藍 留 來 六 串列 行 兩 理串列 料 率 來 車 藍 串列 料讀 來 路流 更 藍 89C51 3. Hin232 IC IC RS232 串列 7404 89C51

More information

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc EEPROM 应用说明 1 适用产品 :SM59D03G2 SM59D04G2 series 2 应用范围 : 针对需使用 EEPORM 功能替换 STC 89C5X 的应用及汇编语言之范例程序 ( 使用内部扩充内存为暂存区 ) 3 功能说明 : 3.1 本公司上述产品 EEPORM 功能皆可替换 STC89C5X, 仅需对特殊功能缓存器定义 ( 详见表 1) 及 ISP 命令定义 ( 详见表 2)

More information

SIGNUM 3SB3

SIGNUM 3SB3 SGNUM * 6, 8 6, 8 6, 8 8 : : : : ( ) Ø22mm 6, 8 6, 8 6, 8 8 : : : : ( ) 7, 10 7, 9 7, 8 : (2 /3 ) RNS ( SB) : : CES / BKS : ( / ) 10 7, 8 : (2 /3 ) RNS ( 360012K1) : : MR : 7 Ø22mm 16 16 16 16 : : : :

More information

ORG 00BH JMP TIMER0 ORG 100H MOV TMOD,# B MOV IE,# B MOV TH0,#( )/32 MOV TL0,#( ).MOD.32 SETB P2.0 SETB TR0 JMP $ MOV R0,#

ORG 00BH JMP TIMER0 ORG 100H MOV TMOD,# B MOV IE,# B MOV TH0,#( )/32 MOV TL0,#( ).MOD.32 SETB P2.0 SETB TR0 JMP $ MOV R0,# 分數學號姓名 EE3046 Microcomputers Theory and Laboratory, 2016 Spring Semester Final Exam (8051) Scope: MTS-51 9:00~11:30, 2016.6.13 A simple list of instruction set can be found in the lecture note of Chapter

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

Microsoft PowerPoint - chap3.ppt

Microsoft PowerPoint - chap3.ppt MCS-51 CPU 的規劃模式 位元組位址 1F 18 17 10 0F 08 07 06 05 04 03 02 01 00 通用資料暫存器 暫存器庫 3 暫存器庫 2 暫存器庫 1 R7 R6 R5 R4 R3 R2 R1 R0 內部 RAM 位元組位址 暫存器庫 0 F0 F7 F6 F5 F4 F3 F2 F1 F0 B E0 E7 E6 E5 E4 E3 E2 E1 E0 ACC D0

More information

LSTA126中文操作说明

LSTA126中文操作说明 LSE126 洗 干 衣 机 使 用 说 明 阅 读 使 用 说 明 注 意! 建 议 认 真 阅 读 说 明 书 中 的 所 有 内 容, 说 明 中 包 括 了 洗 衣 干 衣 机 的 合 理 安 全 的 使 用 方 法 请 将 说 明 书 保 管 好, 以 备 将 来 参 考 销 售 和 转 卖 时, 确 保 将 说 明 书 随 机 带 上, 以 提 供 必 要 的 使 用 信 息 1. 安

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

呕血推荐51程序库,希望大家能资源共享

呕血推荐51程序库,希望大家能资源共享 MCS-51 ; RAM IBCLR: MOV A,R0 MOV R1,A IBC1 : MOV @R1,A INC R1 DJNZ R7,IBC1 ; RAM EBCLR1: MOV A,ADDPL MOV DPL,A MOV A,ADDPH MOV DPH,A EBC11: MOVX @DPTR,A INC DPTR DJNZ R7,EBC11 ; RAM ( ) EBCLR2: MOV A,ADDPL

More information

Microsoft PowerPoint - chap4.ppt

Microsoft PowerPoint - chap4.ppt 定址方式 定址方式 格式 有效位址 立即資料定址 #data8 暫存器定址 Rn (R0 ~ R7) 直接定址 addr8 addr8 絕對定址 addr11 addr11 長程 ( 絕對 ) 定址 addr16 addr16 ( 暫存器 ) 間接定址 @Ri (@R0 @R1) 或 @DPTR R0 R1 或 DPTR ( 暫存器 ) 相對定址 disp8 PC+ 符號擴展之 disp8 ( 基底

More information

MCS FCC

MCS FCC F&B 100% MCS FCC6000...1...2...2...3...4...5...7...8...18 HIGH LOW OUT MAN COM1 COM2 PRINT ERROR MONTH.DATA HOUR.MINUTE MAN LOW HIGH OUT HIGH LOW OUT MAN 0 0 1-0 1 1 2 5 4. 5 0 0 1-0 2 2 5 4 6. 9 0 0 1-0

More information

Microsoft Word - FM12232C.doc

Microsoft Word - FM12232C.doc SPEC NO YM2232C REV NO. : YM2232C : 22x32 SED52 LED : Dexun Zou HCC : Jingxi Yang : 22.8 目 录 ( 一 ) 基本特性 () ( 二 ) 限定参数 () ( 三 ) 直流特性 (2) ( 四 ) 交流特性 (2) ( 五 ) 机械尺寸图 (3) ( 六 ) 原理图 (3) ( 七 ) DDRAM 地址表 (4)

More information

Persuasive Techniques (motorcycle helmet)

Persuasive Techniques  (motorcycle helmet) M O D E A T H E E L E M E N T S O F A N A R G U M E N T 1n t h l s t e s t i m o n y g iv e n b e f o r e t h e M a ry l a n d Se n a t e t h e s p e a ke r m a ke s a s t r o n g c l a i m a b o u t t

More information

MSP430 MSP430 F149 MSP430F149 P USART MSP430F PWM 63#

MSP430 MSP430 F149 MSP430F149 P USART MSP430F PWM 63# MSP430 MSP430 0002 63# 710061 2003 7 63# 710061 1 MSP430 MSP430 F149 MSP430F149 P USART MSP430F149 485 232 PWM 63# 710061 2 MSP430 MSP430 16 FLASH,, 16, 64K,, 16 14 12 6 P USART DCO, 8M FLASH,, JTAG FET(FLASH

More information

Microsoft Word - SMB-63-2(簡体字).doc

Microsoft Word - SMB-63-2(簡体字).doc 补 充 说 明 书 ABSODEX AX9000TS/TH-U3 (PROFIBUS-DP 规 格 ) SMB-63C-2 前 言 承 蒙 购 置 本 公 司 的 ABSODEX, 至 为 感 谢 ABSODEX 是 为 了 精 准 灵 活 地 驱 动 常 规 产 业 用 的 组 装 设 备 检 测 设 备 的 间 歇 作 动 回 转 工 作 台 等 而 研 发 的 直 接 驱 动 的 分 度 装

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

4-7 8-9 10-11 12-13 14-15 16-17 18 19 2 50 PLC www.ad.siemens.com.cn 3 SIWAREX CS SIWAREX CF SIWAREX SIMATIC PROFIBUS-DP SIWAREX S5-95U/DP SIWAREX (PROFIBUS ) SIWAREX SIMATIC S5-115U/-135U/-155U S7-300

More information

97 04 25 0970002232 97 12 31 1-7 1 2 1 0 1 0 1 0 1 0 1 0 1 0 1 2 24 A1. 0 1 ( 6 ) 2 ( 6 ) 3 4 A1a.? 5 6 0 1 A1b.? 0 1 2 A2. 0 1 A2b. A2c. A2a. A2d. 1 A3. 1 A4 2 0 A4 A3a.?? 0 A4 1 A3b. 0 A4 1 A3c.?? 1

More information

untitled

untitled 2007 12 1 2 SIRIUS 3 4 5 6 2 2/2 3SB3 2/4 3SB3 2/5 3SB3 2/5 2/7 2/10 2/11 2/13 3SB3 2/14 3SB3 2/15 3SB3 2/17 3SB37 SIRIUS 3SB3 3SB3 (/) (/) (/) () Ø22mm (/) (/) (/) () 23 RONIS (/) (SB30) () 23 OMR (/)

More information

幻灯片 1

幻灯片 1 3 8086 Tel:2656809 tjx@csu.edu.cn 2005-9-14 1 2005-9-14 2 8086 8 8086 8086 7 2005-9-14 3 PC 2005-9-14 4 2005-9-14 5 81616 2005-9-14 6 [ ] MOV AX, 3064H AX=3064H 16AX OP 64H 30H 2005-9-14 7 16 AX BX CX

More information

微型计算机原理及应用试题 机电96

微型计算机原理及应用试题   机电96 微 机 原 理 试 题 ( 一 ) 总 分 : 一 : 单 项 选 择 题 ( 每 题 1 分, 共 10 分 ) 1. 微 型 计 算 机 中 主 要 包 括 有 ( ) A) 微 处 理 器 存 储 器 和 I/O 接 口 B) 微 处 理 器 运 算 器 和 存 储 器 C) 控 制 器 运 算 器 和 寄 存 器 组 D) 微 处 理 器 运 算 器 和 寄 存 器 2. DMA 控 制 器

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

經 濟 統 計 分 析 與 統 計 圖 表 多 媒 體 設 計 之 創 新 作 法 ( 附 件 ) 經 濟 部 統 計 處 中 華 民 國 103 年 8 月 29 日 內 容 大 綱 壹 效 益 性... 2 一 點 閱 率... 2 二 媒 體 報 導... 4 三 部 務 會 議 裁 示... 7 四 榮 獲 本 部 103 年 網 站 評 比 第 1 名... 9 貳 具 體 作 法...

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

ULTRAMAT 6 NDIR 2~9 m CO CO 2 NO SO 2 NH 3 H 2 O CH ~1200hPa ~1500hPa NAMUR 316SS/ ULTRAMAT 6 TA-Luft / BlmSchV LCD

ULTRAMAT 6 NDIR 2~9 m CO CO 2 NO SO 2 NH 3 H 2 O CH ~1200hPa ~1500hPa NAMUR 316SS/ ULTRAMAT 6 TA-Luft / BlmSchV LCD ULTRAMAT 6 2 2 3 4 5 6 8 8 9 10 12 13 14 16 19 22 23 23 24 25 27 28 29 32 34 35 36 37 38 39 19 ULTRAMAT 6E ULTRAMAT 6E-2P 2 ULTRAMAT 6E-2R/3K 2~3 TÜV ULTRAMAT 6F ULTRAMAT 6F-2R 2 TÜV 1 BARTEC EEx p 2 MiniPurge

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

DIGITAL VOICE RECORDER WS-33M WS-3M WS-3M CN 6 8 9 8 7 9 9 3 6 7 3 ................................................................................................ ........................................................................

More information

5. P0.0 P0.7 P0 4 2 P0.3~P0.6 ADC P1.0 P P1.2(SCL) P1.3(SDA) P1.5 RST P1.5 2 XTAL1(P2.1) 1 XTAL0(P2.0) 2 XTAL1 I/O VDD VSS 6. H5815 4T 16K Flas

5. P0.0 P0.7 P0 4 2 P0.3~P0.6 ADC P1.0 P P1.2(SCL) P1.3(SDA) P1.5 RST P1.5 2 XTAL1(P2.1) 1 XTAL0(P2.0) 2 XTAL1 I/O VDD VSS 6. H5815 4T 16K Flas H5815 1. H5815 H5815 3 H5815 8052 16K Flash EPROM 256 NVM Flash EPROM 256 RAM 2 8 1 2 I/O 16-2 / 4 10 AD 4 10 PWM 1 I2C 1 UART 13 4 H5815 2. 4T 51 20MHZVDD=2.7V~ 16K Flash EPROM 256 RAM 256 NVM FLASH EPROM

More information

规格说明

规格说明 24 GSX-540K GSX-540K GSX-540K FP-530K KY-540K FP-5400K. 2. 3. ........2... 3... 7 2.... 7 2..... 7 2..2... 9 2..3... 0 2..4... 2..5... 6 2.2... 7 2.2.... 7 2.2.2... 9... 5 3.... 5 3.2... 52 3.3... 52 3.4...

More information

SIMOCODE pro 3UF PCS SIMOCODE ES SIMOCODE pro 3UF UL22 38 PROFIBUS MCC Siemens LV

SIMOCODE pro 3UF PCS SIMOCODE ES SIMOCODE pro 3UF UL22 38 PROFIBUS MCC Siemens LV SIMOCODE pro 03.2009 SIRIUS Answers for industry. SIMOCODE pro 3UF7 2-2 - 4-4 - 7-9 - PCS 7 10 - SIMOCODE ES SIMOCODE pro 3UF7 11-18 - 21-22 - 30-35 - 36-37 3UL22 38 PROFIBUS MCC Siemens LV 1 2009 SIMOCODE

More information

untitled

untitled SIMATIC S7-300 4/2 4/2 S7-300/S7-300F 4/4 4/4 CPU 312C - CPU 317F-2 DP 4/38 SIPLUS 4/38 SIPLUS CPU 312C, CPU 313C, CPU 314, CPU 315-2 DP 4/40 4/40 SM 321 4/46 SM 322 4/52 SM 323/SM 327 I/O 4/56 SIPLUS

More information

untitled

untitled !"#$ 123!"# A 1507! 750001 (0951) 786 9866 (0951) 786 9867!"# 343! 21 A\B\J\K! 214002 (0510) 8273 6868 (0510) 8276 8481!"# 28!"#$ 5! 250014 (0531) 8266 6088 (0531) 8266 0836!"#$%&!"#$% 20!"#$ E4C! 300457

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

instructions.PDF

instructions.PDF 94 SIMATIC (END) (END) Micro/WIN 32 (STOP) (STOP) CPU RUN STOP STOP CPU RUN STOP (WDR) (Watchdog Reset) (WDR) CPU WDR WDR ( ) I/O ( I/O ) SM (SM0 SM5 SM29 ) 25 0 ms 00 ms STOP 300ms 300ms WDR S7-200 CPU

More information

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc 前 言 感 谢 您 购 买 使 用 LU-R/C3000 系 列 真 彩 液 晶 显 示 与 R/C2100 单 色 液 晶 显 示 过 程 控 制 无 纸 记 录 仪 本 手 册 是 关 于 LU-R/C3000 与 LU-R/C2100 的 功 能 组 态 设 置 接 线 方 法 和 操 作 方 法 等 的 说 明 书 除 此 手 册 之 外 还 有 安 东 无 纸 记 录 仪 U 盘 采 集

More information

! "! "! # $ # # %#!# $# &# # ()*+, )-.) /# () () 0# 1,2.34, 4*.5)-*36-13)7,) ,9,.).6.(+ :# 13).+;*7 )-,7,<*7,-*=,>,7?#

! ! ! # $ # # %#!# $# &# # ()*+, )-.) /# () () 0# 1,2.34, 4*.5)-*36-13)7,) ,9,.).6.(+ :# 13).+;*7 )-,7,<*7,-*=,>,7?# ! " "!! " "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! " #$$%!#$ #$$%!& #$$%! # (! #! (! # # ( $!! )! #! (!!! )!!! )!!! )!! # (! #! (!!! )!!! )!!! )! " "! *! " # ! "! "! # $ # # %#!# $# &# # ()*+, )-.) /# () ()

More information

é SI 12g C = 6 12 = 1 H2( g) + O2( g) H2O( l) + 286kJ ( 1) 2 1 1 H 2( g) + O2( g) H2O( l) H = 286kJ mol ( 2) 2 1 N 2 ( g) + O2( g) NO 2 ( g) 34kJ 2 1 1 N 2 ( g) + O2( g) NO 2 ( g) H = + 34kJ mol 2 1 N

More information

*33*!!! "!! #$! %#! "& "! #! %! # ( ) * # +, # -, # +., $ /# ( ) 0 $ +# ( ) 0 $.# ( ) 0 $ # $! % "" " % 1 % & ( * ) * % " " %.! % 2!!"+# ( "&! " ( "#

*33*!!! !! #$! %#! & ! #! %! # ( ) * # +, # -, # +., $ /# ( ) 0 $ +# ( ) 0 $.# ( ) 0 $ # $! %   % 1 % & ( * ) * %   %.! % 2!!+# ( &!  ( # 588!"! #$$%& &&#! ()! *(+ "! *(, "! (-.! *(/ "! (.! ().! (01! /0! *(. # 2(.! *2. $ *20 3 $! *( % ) % *+ " % * 4 5 6 % - % 0. % 7. *33*!!! "!! #$! %#! "& "! #! %! # ( ) * # +, # -, # +., $ /# ( ) 0 $ +#

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

SIK) 者, 需 實 施 1 年 以 上, 經 體 格 檢 查 無 後 遺 症 者 5. 身 體 任 何 部 分 有 刺 青 紋 身 穿 耳 洞 者, 不 得 報 考, 各 項 檢 查 結 果 須 符 合 體 位 區 分 標 準 常 備 役 體 位 二 在 校 軍 訓 成 績 總 平 均 70 分

SIK) 者, 需 實 施 1 年 以 上, 經 體 格 檢 查 無 後 遺 症 者 5. 身 體 任 何 部 分 有 刺 青 紋 身 穿 耳 洞 者, 不 得 報 考, 各 項 檢 查 結 果 須 符 合 體 位 區 分 標 準 常 備 役 體 位 二 在 校 軍 訓 成 績 總 平 均 70 分 民 國 102 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 簡 章 壹 依 據 : 依 民 國 102 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 計 畫 辦 理 貳 考 ( 甄 ) 選 對 象 : 具 中 華 民 國 國 籍, 尚 未 履 行 兵 役 義 務 之 役 男, 年 齡 在 32 歲 ( 民 國 70 年 1 月 1 日 以 後 出

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

- 1-5.2-29 - 1.1-1 - - 40-1.2-2 - 6.1 F0-40 - - 4-6.2 F1-42 - 2.1-4 - 6.3 F2-44 - 2.2-4 - 6.4 F3-45 - 2.3-5 - 6.5 F4-49 - 2.4-5 - 6.6 F5-51 - 2.5-7 - 6.7 F6-53 - 2.6-8 - 6.8 F7-55 - 2.7-8 - 6.9 F8-57 -

More information