EETOP 论坛 LX9 开发板试用活动 基于 LX9 构建文件保密系统 因工作较忙, 调试时间不是很长, 试用报告可能有不够详尽的地方, 非常抱歉, 也请多多包涵 ~ 本次试用主要是了解 LX9 设计情况, 并尝试将 LX9 构建成一个文件加解密设备, 通过串口 ( 原拟用网口, 但因时间关系,

Size: px
Start display at page:

Download "EETOP 论坛 LX9 开发板试用活动 基于 LX9 构建文件保密系统 因工作较忙, 调试时间不是很长, 试用报告可能有不够详尽的地方, 非常抱歉, 也请多多包涵 ~ 本次试用主要是了解 LX9 设计情况, 并尝试将 LX9 构建成一个文件加解密设备, 通过串口 ( 原拟用网口, 但因时间关系,"

Transcription

1 基于 LX9 构建文件保密系统 因工作较忙, 调试时间不是很长, 试用报告可能有不够详尽的地方, 非常抱歉, 也请多多包涵 ~ 本次试用主要是了解 LX9 设计情况, 并尝试将 LX9 构建成一个文件加解密设备, 通过串口 ( 原拟用网口, 但因时间关系, 选用了较简单的串口 ) 完成文件的加解密 : 加密时, 明文和密钥由计算机送给 LX9,LX9 送密文给计算机 ; 解密时, 密文和密钥由计算机送给 LX9,LX9 将明文送回电脑 为了简单起见,LX9 所谓的加解密只准备进行与固定数据 0xEE 的异或操作, 暂不实现密钥的传递过程 1 结构框图

2 2 LX9 硬件平台准备 这次试用尽量实现已有资源, 硬件平台以 AVS6LX9MBHP311_XPS_13_2_01 为基础进行精简, 去除不需要的模块 用 XPS 打开 AVS6LX9MBHP311_XPS_13_2_01 工程, 查看原始模块, 如 下图所示 1) 去除不需要的模块, 如 Ethernet_MAC,DIP_Switches_4bit,SPI_FLASH,axi_timer_0 等, 保留 LEDs_4Bits 作为状态显示备用灯, 最终模块结构如下图所示

3 2) 修改约束文件, 打开 system.ucf 文件, 如下图所示 : 删除其中 5~26 行 32~37 行,53~56 行, 即删除不用模块的约束信息 3) 生成需要的网表和 bit 文件 选择 HARDWARE 菜单, 执行 clean hardware 命令 选择 HARDWARE 菜单, 执行 generate netlist 命令,xps 开始产生网表文件, 不过产生过程中出现如下错误 : 在 C 盘建立 TEMP 文件夹, 重新执行 generate netlist 命令 漫长的等待 后产生 netlist 完毕, 执行 hardware 菜单 generate bitstream 命令, 继续等待 产生 bitstream 完毕 至此简单的硬件平台准备完毕, 选择菜单 project, 执行 export hardware design to SDK, 点击 export&launch SDK, 导出硬件信息, 开始进行软件设计

4 3 LX9 软件设计 Xps sdk 软件初始界面如图所示 选择菜单 file new Xilinx C project, 建立新的软件工程, 设置如下图所示 点击 finish, 建立软件工程

5 注意上面使用 Hello world 模板, 可以方便测试整个平台是否正常工作 设置串口调试助手, 如下图所示 端口号的选择在设备管理器里面查看 Silinon Labs CP210X USB TO UART Bridge 对应的数字, 波特率与 XPS 中 USB_UART 中的设置对应 执行 generate linker script, 方法如下图

6 具体设置如图所示, 设置完成后点击 generate 即可 执行 xilinx tools 菜单下 Program FPGA 命令, 设置如下所示

7 FPGA 编程完成后, 内部程序自动执行, 串口调试助手显示出 hello world, 说明平台工作正 常 修改 helloworld.c, 使其满足设计要求 修改过程简述如下 : 加入引用头文件 : #include "xparameters.h" #include "xuartlite.h" #include "xgpio.h" 定义变量 : XUartLite ptruart; u8 ibuff[10]; u8 obuff[10]; XGpio ptrgpio; u32 loop_cnt; init_platform() 下一行加入如下程序语句 : XUartLite_Initialize(&ptrUart, XPAR_USB_UART_DEVICE_ID); XUartLite_ResetFifos(&ptrUart);

8 XGpio_Initialize(&ptrGpio,XPAR_LEDS_4BITS_DEVICE_ID); XGpio_SetDataDirection (&ptrgpio,1,0); loop_cnt = 0; while(1) { loop_cnt ++ ; loop_cnt = loop_cnt%0x3ffff; if(xuartlite_recv(&ptruart,ibuff,1)==1) { obuff[0] = ibuff[0] ^ 0xee; XUartLite_Send(&ptrUart,obuff,1); } if(loop_cnt>0x20000) { XGpio_DiscreteClear(&ptrGpio,1,0x1); }else{ XGpio_DiscreteSet(&ptrGpio,1,0x1); } } 修改完成后保存文件,xps SDK 自动编译 右键点击 encrypt 项目, 修改编译配置为 release 版本, 如下图所示 重新编译, 生成 release 版本的 encrypt.elf 程序

9 4 LX9 固件程序生成及 FLASH 编程 回到 XPS 界面, 将生成的 release/encrypt.elf 加入 project, 如下图所示 选择菜单 device configuration, 执行命令 update bitstream, 生成 download.bit 文件, 该文件

10 为合并了硬件平台信息和 block ram 软件信息的文件 将 download.bit 写入 spi_flash 中, 具体过程见 U70_Avnet_Spartan-6_LX9_MicroBoard_Configuration_Guide_v1_3 5 计算机软件设计 计算机程序暂时设计得比较简单, 就是一个简要的串口收发程序, 软件界面如下所示 其中第一个选择按钮为选择输入文件 : 加密时为明文文件, 解密时为密文文件, 该文件选择后, 输出路径和输出文件为自动设置 ; 第二个选择按钮设置输出文件及路径, 可以不进行设置使用默认值 因为软件比较简单, 这里不做详细说明 6 测试及结果 用 ultraedit 产生一个全 F 文件 ( 文件名 f.bin) 进行测试 下图为 f.bin 文件内容

11 下图为计算机软件设置 下图为输出的 f_out.bin 内容 下图为解密设置 下图为解密后禅师的 f_out_out.bin 文件内容

12 可见, 可以完成预定的功能 下面测试一个文本文件, 文件名为 t.txt, 内容如下 : 仍然以二进制形式查看, 如下所示 : 明文 : 密文 :

13 解密后 : 很奇怪, 解密后二进制对照正确, 但二进制后面文本不对, 不过以文本形式查看还是正确的, 如下 : 下面, 对一个图片加密, 文件名 image.jpg, 图片如下所示 这里, 直接进行加密和解密, 然后使用 ultraedit 进行二进制对比, 如下所示 :

14 对比结果虽然正确, 但是这次加 / 解密也充分体现了串口的慢速,136K 的文件, 加密和解密 总共用时约 7 分钟, 可见速度之慢 ( 如下图, 通过解密后文件与加密后文件的创建时间, 可 以大致推出加密和解密时间 ) 7 试用结论及感触 本次试用, 因为和工作有冲突, 测试不是很全面, 感觉有些遗憾 另外也耽搁了时间, 非常抱歉 就技术方面来说, 本次试用设计的这个东西还有不完善的地方, 个人认为主要有 : 1 结构不是最好的 就 LX9 而言, 如果要实现本文的功能, 最好还是用网口, 速度快, 可以满足大文件加密要求 2 算法比较简单 如果时间允许, 将 aes 或者其他公开算法或者个人设计的算法放进去, 对个人文件进行加密, 才能更安全的保密个人信息 3 本文开头提出的认证过程没有实际实现, 这样每个人都可以用 LX9 进行加 / 解密, 达不到安全保密的目的 最后, 非常感谢 avnet 提供这次机会给我试用 LX9,LX9 是个个头小巧功能也挺强大的板子, 对进行原型设计很有参考价值 提交试用报告的同时, 同时提交了 FLASH 数据文件 download.mcs, 计算机软件程序 RS232.EXE 和几个测试文件, 有兴趣的可以自己试验一下

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony Ioncube Php Encoder 8 3 Crack 4 ->>->>->> DOWNLOAD 1 / 5 2 / 5 Press..the..General..Tools..category4Encrypt..and..protect..files..with..PHP..encoding,..encryption,..ob fuscation..and..licensing... 2016

More information

, 即 使 是 在 昏 暗 的 灯 光 下, 她 仍 然 可 以 那 么 耀 眼 我 没 有 地 方 去, 你 会 带 着 我 么 杜 晗 像 是 在 嘲 笑 一 般, 嘴 角 的 一 抹 冷 笑 有 着 不 适 合 这 个 年 龄 的 冷 酷 和 无 情, 看 着 江 华 的 眼 神 毫 无 温

, 即 使 是 在 昏 暗 的 灯 光 下, 她 仍 然 可 以 那 么 耀 眼 我 没 有 地 方 去, 你 会 带 着 我 么 杜 晗 像 是 在 嘲 笑 一 般, 嘴 角 的 一 抹 冷 笑 有 着 不 适 合 这 个 年 龄 的 冷 酷 和 无 情, 看 着 江 华 的 眼 神 毫 无 温 爱 情 飞 过 苍 凉 / 作 者 :18758265241 1 红 色 格 子 的 旅 行 箱, 在 湿 漉 漉 地 上 发 出 刺 啦 刺 啦 的 声 音, 那 么 刺 耳, 就 像 是 此 刻 杜 晗 的 里 一 样, 烦 躁 而 不 安 就 这 样 走 出 来 了,18 年 禁 锢 自 己 的 地 方 就 在 身 后, 杜 晗 手 指 关 节 泛 白, 紧 紧 地 拉 着 旅 行 箱, 走

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

Microsoft Word zw

Microsoft Word zw 第 1 章 Android 概述 学习目标 : Android Android Android Studio Android Android APK 1.1 1. 智能手机的定义 Smartphone 2. 智能手机的发展 1973 4 3 PC IBM 1994 IBM Simon PDA PDA Zaurus OS 1996 Nokia 9000 Communicator Nokia 9000

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

CL-S10w

CL-S10w Data Management Software CL-S10w WindowsWindows XP Microsoft Windows XP Professional Operating System WindowsWindows 7 Microsoft Windows 7 Professional Operating System Excel Microsoft Excel MicrosoftWindowsWindows

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Data Management Software CL-S10w

Data Management Software CL-S10w Data Management Software CL-S10w WindowsWindows 7 Microsoft Windows 7 Professional Operating System WindowsWindows 8.1 Microsoft Windows 8.1 Pro Operating System WindowsWindows 10 Microsoft Windows 10

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

Data Management Software CL-S10w

Data Management Software CL-S10w Data Management Software CL-S10w Windows Windows XP Microsoft Windows XP Professional Operating System Windows Windows 7 Microsoft Windows 7 Professional Operating System Windows Windows 8 Microsoft Windows

More information

xx200410c.doc

xx200410c.doc 2004 10 1 1934 NEW POINT 2004 10 2 1940 632 694 1949 9 25 THE HISTORY 2004 10 3 TO ROAM ABOUT THE BOOKS 2004 10 4 J364/69/1 J364/69/2 K835.655.6/26 I845.273/301 I845.273/342 I845.273/342 I845.273/344 2004

More information

Eclipse C C++, or

Eclipse C C++,  or Eclipse C C++, Emailctchen@pl.csie.ntut.edu.tw or s1669021@ntut.edu.tw, s2598003@ntut.edu.tw http://pl.csie.ntut.edu.tw/~ctchen, http://www.ntut.edu.tw/~s2598003/ 2004/9/10 (0.02 ) Eclipse http://www.eclipse.org

More information

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc 在 VMWare-5.5+RedHat-9 下建立 本机 QTopia-2.1.1 虚拟平台 张大海 2008-5-9 一 资源下载 1. 需要以下安装包 : tmake-1.13.tar.gz qtopia-free-source-2.1.1.tar.gz qt-embedded-2.3.10-free.tar.gz qt-x11-2.3.2.tar.gz qt-x11-free-3.3.4.tar.gz

More information

专注于做最好的嵌入式计算机系统供应商

专注于做最好的嵌入式计算机系统供应商 专注于做最好的嵌入式计算机系统供应商 基于 ARMSYS2440/2410 开发应用程序 (EVC&VS2005) Rev 1.0 2008 年 3 月 24 日 杭州立宇泰电子有限公司 HangZhou LiYuTai Elec.Co.,Ltd 开发应用程序的过程一般分两步, 第一步在 PC 机开发环境下设计和编译应用程序, 第二步将它下载到 目标系统, 也就是我们的 ARMSYS2440 平台上运行

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

运用多媒体提升实验教学有效性的研究.doc

运用多媒体提升实验教学有效性的研究.doc 运 用 体 提 升 教 学 有 效 性 的 研 究 付 简 阳 阳 安 中 学, 四 川 省 资 阳 市 641300 摘 要 在 潜 心 研 读 课 程 标 准 和 教 材 细 心 揣 摩 学 生 认 知 规 律 的 基 础 上, 从 六 个 方 面 ( 即 六 化 ) 开 发 出 体 介 入 教 学 的 课 程 资 源 ; 采 用 四 个 模 块 八 个 环 节 的 课 堂 教 学 方 法 检

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

201406002+大学计算机基础B.doc

201406002+大学计算机基础B.doc 目 录. 大 学 计 算 机 基 础 B( 非 独 立 设 课 ).... 计 算 机 操 作 基 础 ( 独 立 设 课 )...3 3. 程 序 设 计 基 础 ( 非 独 立 设 课 )...5 4. 面 向 对 象 程 序 设 计 ( 非 独 立 设 课 )...8 5. 数 据 库 原 理 ( 非 独 立 设 课 )...0 6. 算 法 设 计 与 分 析 ( 非 独 立 设 课 )...

More information

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM Xilinx Alliance Program Certified IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 PLDAPCIe Soft IP!! Linux Gen3 PCIe

More information

SDK 概要 使用 Maven 的用户可以从 Maven 库中搜索 "odps-sdk" 获取不同版本的 Java SDK: 包名 odps-sdk-core odps-sdk-commons odps-sdk-udf odps-sdk-mapred odps-sdk-graph 描述 ODPS 基

SDK 概要 使用 Maven 的用户可以从 Maven 库中搜索 odps-sdk 获取不同版本的 Java SDK: 包名 odps-sdk-core odps-sdk-commons odps-sdk-udf odps-sdk-mapred odps-sdk-graph 描述 ODPS 基 开放数据处理服务 ODPS SDK SDK 概要 使用 Maven 的用户可以从 Maven 库中搜索 "odps-sdk" 获取不同版本的 Java SDK: 包名 odps-sdk-core odps-sdk-commons odps-sdk-udf odps-sdk-mapred odps-sdk-graph 描述 ODPS 基础功能的主体接口, 搜索关键词 "odpssdk-core" 一些

More information

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.06.doc

Microsoft Word - 把时间当作朋友(2011第3版)3.0.b.06.doc 2 5 8 11 0 13 1. 13 2. 15 3. 18 1 23 1. 23 2. 26 3. 28 2 36 1. 36 2. 39 3. 42 4. 44 5. 49 6. 51 3 57 1. 57 2. 60 3. 64 4. 66 5. 70 6. 75 7. 83 8. 85 9. 88 10. 98 11. 103 12. 108 13. 112 4 115 1. 115 2.

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

Microsoft Word - install_manual-V _CN.docx

Microsoft Word - install_manual-V _CN.docx NO TASK Q-Sign Install Manual PAGE 1/28 Q-Sign INSTALL MANUAL Version 3.0 Server Manager Client Codec NO TASK Q-Sign Install Manual PAGE 2/28 History DATE Contents Name Ver. Remark 2009-02-11 Q-Sign Ver.

More information

Photoshop CS6 艺术设计案例教程 ( 第二版 ) 1.1 Photoshop 的应用领域 Photoshop,,, Photoshop Photoshop 的用途 Photoshop CIS ( ) ( ) 案例展现 ~ 1

Photoshop CS6 艺术设计案例教程 ( 第二版 ) 1.1 Photoshop 的应用领域 Photoshop,,, Photoshop Photoshop 的用途 Photoshop CIS ( ) ( ) 案例展现 ~ 1 Chapter 01 Photoshop CS6 的基本操作 本章内容 1.1 Photoshop 的应用领域 1.6 控制面板的显示与隐藏 1.2 位图和矢量图的特性 1.7 新建 打开与保存文件 1.3 像素和分辨率的关系 1.8 图像的缩放 1.4 色彩模式 1.9 屏幕显示模式 1.5 Photoshop CS6 界面 1.10 计算机图形图像常用的色彩模式 Photoshop CS6 艺术设计案例教程

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

如何生成库文件 (MDK 和 IAR) 问题 : 该问题由某客户提出, 主要是想自己做一个库给第三方, 但是又不想让别人得到源代码, 不知道如何去做, 尝试了几种办法, 发现都会有些问题. 调研 : 目前 ST 已经提供了各种开源的库文件 ( 如 USB/Ethernet 等等 ), 但是部分客户依

如何生成库文件 (MDK 和 IAR) 问题 : 该问题由某客户提出, 主要是想自己做一个库给第三方, 但是又不想让别人得到源代码, 不知道如何去做, 尝试了几种办法, 发现都会有些问题. 调研 : 目前 ST 已经提供了各种开源的库文件 ( 如 USB/Ethernet 等等 ), 但是部分客户依 如何生成库文件 (MDK 和 IAR) 问题 : 该问题由某客户提出, 主要是想自己做一个库给第三方, 但是又不想让别人得到源代码, 不知道如何去做, 尝试了几种办法, 发现都会有些问题. 调研 : 目前 ST 已经提供了各种开源的库文件 ( 如 USB/Ethernet 等等 ), 但是部分客户依然有使用 IDE 生成可加密的库文件的需求, 因各种 IDE 之间在生成库的方法上有些不同, 调用的方式也有细微的差别,

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

ebook

ebook 26 JBuilder RMI Java Remote Method Invocation R M I J a v a - - J a v a J a v J a v a J a v a J a v a R M I R M I ( m a r s h a l ) ( u n m a r c h a l ) C a ff e i n e J a v a j a v a 2 i i o p J a v

More information

填 写 要 求 1. 以 word 文 档 格 式 如 实 填 写 各 项 2. 表 格 文 本 中 外 文 名 词 第 一 次 出 现 时, 要 写 清 全 称 和 缩 写, 再 次 出 现 时 可 以 使 用 缩 写 3. 本 表 栏 目 未 涵 盖 的 内 容, 需 要 说 明 的, 请 在

填 写 要 求 1. 以 word 文 档 格 式 如 实 填 写 各 项 2. 表 格 文 本 中 外 文 名 词 第 一 次 出 现 时, 要 写 清 全 称 和 缩 写, 再 次 出 现 时 可 以 使 用 缩 写 3. 本 表 栏 目 未 涵 盖 的 内 容, 需 要 说 明 的, 请 在 附 件 4 精 品 在 线 开 放 课 程 申 报 书 学 校 名 称 课 程 名 称 广 东 科 学 技 术 职 业 学 院 Java 面 向 对 象 程 序 设 计 课 程 类 别 专 业 基 础 课 专 业 核 心 课 所 属 专 业 课 程 负 责 人 申 报 日 期 推 荐 单 位 软 件 技 术 刘 晓 英 2016 年 6 月 1 日 计 算 机 工 程 技 术 学 院 广 东 省 教

More information

Microsoft Word - PHP7Ch01.docx

Microsoft Word - PHP7Ch01.docx PHP 01 1-6 PHP PHP HTML HTML PHP CSSJavaScript PHP PHP 1-6-1 PHP HTML PHP HTML 1. Notepad++ \ch01\hello.php 01: 02: 03: 04: 05: PHP 06:

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

P1500

P1500 深 圳 华 禹 工 控 科 技 有 限 公 司 P1500 用 户 使 用 手 册 深 圳 华 禹 工 控 科 技 有 限 公 司 编 制 联 系 电 话 邮 件 地 址 更 新 日 期 邝 足 林 15986765262 2850906986@qq.com 2014-11-04 文 件 编 号 20141104-001 版 本 号 / 修 订 号 V1.1 本 文 件 版 权 属 于 本 公 司

More information

深 耕 水 里 傳 承 創 新 113

深 耕 水 里 傳 承 創 新 113 師 生 繽 紛 作 品 展 112 112 深 耕 水 里 傳 承 創 新 113 114 114 深 耕 水 里 傳 承 創 新 115 一 年甲 班 春天觀察筆記 一甲 劉家齊 我們到二坪山去戶外教學 一路上 我看到很多花草樹木 還聽到同學們玩得很開心的笑聲 也聞到杜鵑花的香味 我感覺今天好快樂 春天觀察筆記 一甲 黃加婣 我們到二坪山去戶外教學 到了那裡 我看到很多花 草 樹 還聽到蟲叫聲 鳥鳴聲

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

Microsoft Word - EE-175_cn.doc

Microsoft Word - EE-175_cn.doc Engineer-to-Engineer Note EE-175 更 多 关 于 ADI 公 司 的 DSP 处 理 器 以 及 开 发 工 具 的 技 术 资 料, 请 访 问 网 站 :http://www.analog.com/ee-note 和 http://www.analog.com/processor 如 需 技 术 支 持, 请 发 邮 件 至 processor.support@analog.com

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

华恒家庭网关方案

华恒家庭网关方案 LINUX V1.5 1 2 1 2 LINUX WINDOWS PC VC LINUX WINDOWS LINUX 90% GUI LINUX C 3 REDHAT 9 LINUX PC TFTP/NFS http://www.hhcn.com/chinese/embedlinux-res.html minicom NFS mount C HHARM9-EDU 1 LINUX HHARM9-EDU

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

Java2(J2SDK 5.0) 2

Java2(J2SDK 5.0) 2 Java2(J2SDK 5.0) 3-1 1 Java2(J2SDK 5.0) 2 Java2(J2SDK 5.0) 3 Java2(J2SDK 5.0) 3-2 3-2-1 4 Java2(J2SDK 5.0) 3-2-2 5 Java2(J2SDK 5.0) 6 Java2(J2SDK 5.0) 7 Java2(J2SDK 5.0) 8 Java2(J2SDK 5.0) 3-2-3 9 Java2(J2SDK

More information

《linux从入门到精通》实验指导第三讲:文件及目录操作

《linux从入门到精通》实验指导第三讲:文件及目录操作 Web 交互开发 实验教学指导 实验六 : 文件 一 实验目的 (5 分 ) 1 掌握文件处理对象的相关操作; 2 了解文件打印的方法; 3 掌握 FileSystem API 的基本概念以及相关属性 方法与事件 ; 4 掌握 FileReader API 读取文件的内容的方法 ; 二 实验环境 (5 分 ) 1 Windows XP/Windows 7 操作系统的计算机 ; 2 局域网网络环境,

More information

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer)

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer) 1/14 IBM Rational Test RealTime IBM, 2004 7 01 50% IBM Rational Test RealTime IBM Rational Test RealTime 1. 50% IBM Rational Test RealTime IBM Rational Test RealTime 2. IBM Rational Test RealTime Test

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南 Symantec Sygate Enterprise Protection 防 护 代 理 安 装 使 用 指 南 5.1 版 版 权 信 息 Copyright 2005 Symantec Corporation. 2005 年 Symantec Corporation 版 权 所 有 All rights reserved. 保 留 所 有 权 利 Symantec Symantec 徽 标 Sygate

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

Abstract arm linux tool-chain root NET-Start! 2

Abstract arm linux tool-chain root NET-Start! 2 Lab III - Embedding Linux 1 Abstract arm linux tool-chain root NET-Start! 2 Part 1.4 Step1. tool-chain 4 Step2. PATH 4 Part 2 kernel 5 Step1. 5 Step2... 6 Step3...8 Part 3 root. 8 Step1. 8 Step2. 8 Part

More information

内 容 提 要 将 JAVA 开 发 环 境 迁 移 到 Linux 系 统 上 是 现 在 很 多 公 司 的 现 实 想 法, 而 在 Linux 上 配 置 JAVA 开 发 环 境 是 步 入 Linux 下 JAVA 程 序 开 发 的 第 一 步, 本 文 图 文 并 茂 地 全 程 指

内 容 提 要 将 JAVA 开 发 环 境 迁 移 到 Linux 系 统 上 是 现 在 很 多 公 司 的 现 实 想 法, 而 在 Linux 上 配 置 JAVA 开 发 环 境 是 步 入 Linux 下 JAVA 程 序 开 发 的 第 一 步, 本 文 图 文 并 茂 地 全 程 指 内 容 提 要 将 JAVA 开 发 环 境 迁 移 到 Linux 系 统 上 是 现 在 很 多 公 司 的 现 实 想 法, 而 在 Linux 上 配 置 JAVA 开 发 环 境 是 步 入 Linux 下 JAVA 程 序 开 发 的 第 一 步, 本 文 图 文 并 茂 地 全 程 指 导 你 搭 建 Linux 平 台 下 的 JAVA 开 发 环 境, 包 括 JDK 以 及 集

More information

附件一:報名表與摘要

附件一:報名表與摘要 GreaTeach 2009 全 國 創 意 教 學 獎 教 案 設 計 方 案 名 稱 : 孕 動 生 命 的 奇 蹟 主 要 領 域 : 生 活 課 程 次 要 領 域 : 綜 合 活 動 其 他 領 域 : 藝 術 與 人 文 參 賽 者 姓 名 : 朱 芳 瑩 郭 偉 智 學 校 名 稱 : 台 南 縣 通 興 國 民 小 學 台 南 縣 子 龍 國 民 小 學 Key-words: 1.

More information

(A) (B) (C) (D) (E) x P x y x y P 42 2' 40 17' O x y z P y z O P O 42 2' 14

(A) (B) (C) (D) (E) x P x y x y P 42 2' 40 17' O x y z P y z O P O 42 2' 14 1. 780 380 2. 780 380 3. 1 380 780 2 555 1 555 1 4. 0.1 20 1. 2. 3. 4. 13 1. 2. 140 138 40 42 3. 51 54 (A) (B) (C) (D) (E) x P x y x y P 42 2' 40 17' O x y z P y z O P O 42 2' 14 (A) (B) (C) 91 (A) (B)

More information

個 人 的 手, 拉 著 瞎 子 的 手 把 他 帶 往 村 外 的 時 候, 對 於 瞎 子 來 講, 那 個 人 的 手 和 耶 穌 的 手 有 沒 有 區 別? 沒 有! 為 什 麼 沒 有 區 別? 因 為 對 於 一 個 瞎 子 來 說, 手 和 耳 朵 就 是 他 接 觸 世 界, 瞭

個 人 的 手, 拉 著 瞎 子 的 手 把 他 帶 往 村 外 的 時 候, 對 於 瞎 子 來 講, 那 個 人 的 手 和 耶 穌 的 手 有 沒 有 區 別? 沒 有! 為 什 麼 沒 有 區 別? 因 為 對 於 一 個 瞎 子 來 說, 手 和 耳 朵 就 是 他 接 觸 世 界, 瞭 課 目 : 講 道 法 學 生 : 楊 建 偉 老 師 : 汪 院 長 時 間 :2009 年 8 月 1 日 靈 命 三 階 ( 可 8:22-26) 在 四 部 福 音 書 中, 這 是 一 段 很 特 別 的 記 載 特 別 在 什 麼 地 方 呢? 是 不 是 特 別 在 耶 穌 基 督 對 一 個 病 人 的 醫 治? 不, 在 耶 穌 三 年 半 的 服 侍 當 中, 曾 經 醫 治 數

More information

<433A5C55736572735C41646D696E6973747261746F725C4465736B746F705CBBFAB5E7B9A4B3CCD1A7D4BA32303135C4EAB9A4D7F7D7DCBDE1BCB032303136C4EAB9A4D7F7D2AAB5E32E646F6378>

<433A5C55736572735C41646D696E6973747261746F725C4465736B746F705CBBFAB5E7B9A4B3CCD1A7D4BA32303135C4EAB9A4D7F7D7DCBDE1BCB032303136C4EAB9A4D7F7D2AAB5E32E646F6378> 2015 年 工 作 总 结 及 2016 年 工 作 要 点 第 一 部 分 :2015 年 工 作 总 结 2015 年, 是 全 面 总 结 学 院 十 二 五 发 展 规 划, 科 学 制 定 十 三 五 发 展 规 划 的 一 年 我 院 按 照 年 度 计 划 要 求, 扎 实 开 展 工 作, 较 为 圆 满 地 完 成 了 年 初 制 定 的 32 项 主 要 工 作 任 务, 具

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

Silver

Silver 版权所有, 禁止未经授权的商业使用行为 FPGA System Design Primer 高教社 &XILINX SOC 竞赛培训系列课程 何宾 何宾 Tel: email: 高等教育出版社 培训内容 基于 AXI4 的可编程 SoC 系统设计 ( 下 ) Xilinx 片上可编程系统设计导论 AXI4 规范 MicroBlaze 处理器原理 EDK13.1 工具概述操作系统 (OS) 及板级支持包

More information

移动数字证书Linux版用户手册(版本:F-2.0;适用硬件:F1、F2)

移动数字证书Linux版用户手册(版本:F-2.0;适用硬件:F1、F2) 移 动 数 字 证 书 Linux 版 用 户 手 册 版 本 :F-2.0 适 用 硬 件 :F1 F2 深 圳 证 券 数 字 证 书 认 证 中 心 http://ca.szse.cn 目 录 1. 物 品 清 单... 2 2. 软 件 安 装... 2 2.1 适 用 平 台... 2 2.2 注 意 事 项... 2 2.3 安 装 过 程... 3 3. 证 书 使 用... 4 3.1

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

tw_basics.book

tw_basics.book hp photosmart 7150 ê ñ ó ñ. Õ ä ä 1 ä.......................................... 1 ä.....................................................1 Ä........................................... 3 ú..................................................

More information

Microsoft Word - 34.doc

Microsoft Word - 34.doc *) 基于随机码数列的文件加密算法的实现 孙玉强, 顾玉宛, 孙富琴 (. 江苏工业学院计算机系, 常州,213164) 摘要 : 本文提出一种基于随机码数列的文件加密算法 该算法采用按位随机码数列 + 异或 + 循环密钥的方式进行加密 与传统的和其它加密方法相比, 增加了破译难度而系统开销并不大 对提供的源代码做随机码数列的变换可生成新的加密算法用于不同的文件 关键词 : 算法设计 ; 文件加密

More information

圖形10.cdr

圖形10.cdr Workshop on Fully Layout Technology Altera Nios XilinxAltera 2002 Workshop on Fully Layout Technology 1999 2000 IT 2001 32% 2 IC 8.6% IC IC 1 8 2001 7100 2002 1 Feb 250 IC IC IC IC Fully Layout RF GHz

More information

(Microsoft Word - 1-\302\262\244\266.doc)

(Microsoft Word - 1-\302\262\244\266.doc) 勞 工 事 務 局 目 錄 ( 一 ) 勞 工 事 務 局 工 作 範 疇... P.2 ( 二 ) 工 作 亮 點... P.3-6 ( 三 ) 工 作 概 況... P.7-18 ( 四 ) 交 流 活 動 剪 影... P.19-20 ( 五 ) 2013 年 統 計 數 據... P.21-32 第 1 頁 勞 工 事 務 局 ( 一 ) 勞 工 事 務 局 勞 工 事 務 局 是 負 責

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

在Windows上安装Hadoop

在Windows上安装Hadoop 一见 2010.1.6 www.hadoopor.com/hadoopor@foxmail.com 1. 安装 JDK 不建议只安装 JRE, 而是建议直接安装 JDK, 因为安装 JDK 时, 可以同时安装 JRE MapReduce 程序的编写和 Hadoop 的编译都依赖于 JDK, 光 JRE 是不够的 JRE 下载地址 :http://www.java.com/zh_cn/download/manual.jsp

More information

云 浮 市 总 工 会 学 习 贯 彻 市 委 五 届 九 次 全 会 精 神 全 省 工 会 第 二 季 度 暨 上 半 年 劳 资 纠 纷 研 判 会 召 开 河 源 市 总 工 会 召 开 劳 资 纠 纷 研 判 会 议 湛 江 市 总 工 会 召 开 上 半 年 劳 资 纠 纷 研 判 会

云 浮 市 总 工 会 学 习 贯 彻 市 委 五 届 九 次 全 会 精 神 全 省 工 会 第 二 季 度 暨 上 半 年 劳 资 纠 纷 研 判 会 召 开 河 源 市 总 工 会 召 开 劳 资 纠 纷 研 判 会 议 湛 江 市 总 工 会 召 开 上 半 年 劳 资 纠 纷 研 判 会 目 录 工 作 聚 焦 全 省 工 会 主 席 会 议 全 省 工 会 主 席 会 议 召 开 推 动 工 会 工 作 再 上 新 台 阶 省 总 工 会 召 开 部 分 省 级 产 业 工 会 主 席 会 议 广 州 市 总 工 会 召 开 全 市 工 会 主 席 会 议 肇 庆 市 总 工 会 召 开 全 市 工 会 主 席 会 议 云 浮 市 总 工 会 召 开 全 市 工 会 主 席 会 议

More information

济南大学计算机科学与技术专业发展规划

济南大学计算机科学与技术专业发展规划 江 苏 省 海 安 中 等 专 业 学 校 计 算 机 网 络 技 术 专 业 三 年 发 展 规 划 (2016-2019) 专 业 建 设 是 中 职 学 校 最 重 要 的 教 学 基 本 建 设, 对 中 职 学 校 的 改 革 与 发 展 具 有 深 远 的 影 响 专 业 建 设 决 定 着 人 才 培 养 的 格 局 与 办 学 水 平 为 主 动 适 应 中 职 教 育 发 展 的

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

天津大学硕士学位论文网络数控切管系统关键技术研究姓名 : 谢新房申请学位级别 : 硕士专业 : 机械电子工程指导教师 : 王国栋 20070101 网络数控切管系统关键技术研究 作者 : 谢新房 学位授予单位 : 天津大学 本文读者也读过 (10 条 ) 1. 王颖博导管架节点计算机绘图数学模型及程序设计

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

ebook8-30

ebook8-30 3 0 C C C C C C++ C + + C++ GNU C/C++ GNU egcs UNIX shell s h e l l g a w k P e r l U N I X I / O UNIX shell awk P e r l U N I X C C C C C C U N I X 30.1 C C U N I X 70 C C U N I X U N I X U N I X C Dennis

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

Software Boot and PL Configuration

Software Boot and PL Configuration 1 2014 Xilinx All Programmable 客户技术培训 1Software Boot and PL Configuration 2014.1 2 40363**slide Objectives After completing this module, you will be able to: Differentiate between program storage and execution

More information

<4D6963726F736F667420576F7264202D2032303039C4EACAA1BCB6CDF8D5BEC6C0B9C0B1A8B8E62E646F63>

<4D6963726F736F667420576F7264202D2032303039C4EACAA1BCB6CDF8D5BEC6C0B9C0B1A8B8E62E646F63> 附 件 : 环 境 保 护 部 办 公 厅 委 托 2009 年 度 省 级 环 保 厅 ( 局 ) 政 府 网 站 绩 效 评 估 报 告 环 境 保 护 部 信 息 中 心 编 制 二 〇 一 〇 年 一 月 目 录 一 综 述...2 二 指 标 体 系 及 评 分 细 则...4 三 评 估 结 果 与 分 析...8 ( 一 ) 平 均 绩 效 得 分 分 析...8 ( 二 ) 信 息

More information

ZYNQ 开发教程 ZYNQ 开发 (FPGA) 长沙聚为电子科技有限公司

ZYNQ 开发教程 ZYNQ 开发 (FPGA) 长沙聚为电子科技有限公司 ZYNQ 开发教程 ZYNQ 开发 (FPGA) www.csjuwei.com ZYNQ 开发教程 目录 一开发环境建立... 1 1.1 VIVADO 软件安装... 1 1.1.1VIVADO 软件安装... 1 1.1.2 VIVADO 软件注册... 4 二创建工程... 6 2.1. 创建工程... 6 2.2. zynq 配置... 8 2.3. zynq vivado 基本操作...

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

本 院 动 态 山 西 物 联 网 研 讨 会 发 扬 国 泰 安 物 联 网 理 念... 1 政 策 导 读 现 代 职 业 教 育 研 究 院 课 题 公 开 招 标 指 南... 3 专 家 视 点 王 继 平 : 拥 抱 互 联 网 + 加 快 职 业 教 育 步 伐... 9 邱 开 金

本 院 动 态 山 西 物 联 网 研 讨 会 发 扬 国 泰 安 物 联 网 理 念... 1 政 策 导 读 现 代 职 业 教 育 研 究 院 课 题 公 开 招 标 指 南... 3 专 家 视 点 王 继 平 : 拥 抱 互 联 网 + 加 快 职 业 教 育 步 伐... 9 邱 开 金 本 院 动 态 山 西 物 联 网 研 讨 会 发 扬 国 泰 安 物 联 网 理 念... 1 政 策 导 读 现 代 职 业 教 育 研 究 院 课 题 公 开 招 标 指 南... 3 专 家 视 点 王 继 平 : 拥 抱 互 联 网 + 加 快 职 业 教 育 步 伐... 9 邱 开 金 : 面 对 新 常 态, 职 业 教 育 定 力 在 哪 里... 12 职 教 探 索 高 等 职

More information

<4D6963726F736F667420576F7264202D20B0AAAD62ACECA7DEA46ABEC73939BEC7A67EABD7BEC7A468ABE1BC76B5F8B6C7BCBDB35DAD70BEC7A468BEC7A6ECBEC7B57BA44ABEC7A9DBA5CDC2B2B3B966696E616C>

<4D6963726F736F667420576F7264202D20B0AAAD62ACECA7DEA46ABEC73939BEC7A67EABD7BEC7A468ABE1BC76B5F8B6C7BCBDB35DAD70BEC7A468BEC7A6ECBEC7B57BA44ABEC7A9DBA5CDC2B2B3B966696E616C> 依 教 育 部 台 技 ( 二 ) 字 第 0990147459 號 核 定 之 本 校 士 後 第 二 專 長 士 位 程 招 生 辦 法 編 訂 99 年 度 士 後 影 視 傳 播 設 計 士 位 程 入 招 生 簡 章 高 苑 科 技 大 99 年 度 士 後 第 二 專 長 士 位 程 招 生 委 員 會 編 印 校 址 :82151 高 雄 縣 路 竹 鄉 中 山 路 1821 號 電

More information

附件四:

附件四: 新 办 企 业 纳 税 服 务 手 册 上 海 市 崇 明 县 国 家 税 务 局 上 海 市 地 方 税 务 局 崇 明 分 局 二 一 三 年 一 月 1 使 用 说 明 1 本 手 册 于 纳 税 人 申 请 新 办 税 务 登 记 时 由 税 务 机 关 发 放, 也 可 在 上 海 税 务 网 崇 明 税 务 局 子 网 站 进 行 下 载 和 参 阅 2 如 需 进 一 步 了 解 相

More information

Microsoft Word - Case2-team5.doc

Microsoft Word - Case2-team5.doc 台 灣 消 費 者 文 化 分 析 以 統 一 超 商 Hello Kitty 磁 鐵 蒐 藏 風 為 例 企 研 一 第 五 組 9422701 王 倩 薇 9422708 張 耀 湧 9422719 郭 芫 豪 國 立 雲 林 科 技 大 學 指 導 教 授 : 趙 琪 九 十 四 年 十 月 十 日 一. Hello Kitty 風 靡 全 球 Hello Kitty 這 是 在 全 世 界

More information

州 盟 ) 县 ( ) 组 织 机 构 代 码 企 业 详 细 名 称 武 汉 东 湖 开 发 武 汉 新 芯 集 成 电 路 制 造 有 限 公 司 黄 石 市 下 陆 大 冶 有 色 自 强 服 务 有 限 责 任 公 司 黄 石 市 下 陆

州 盟 ) 县 ( ) 组 织 机 构 代 码 企 业 详 细 名 称 武 汉 东 湖 开 发 武 汉 新 芯 集 成 电 路 制 造 有 限 公 司 黄 石 市 下 陆 大 冶 有 色 自 强 服 务 有 限 责 任 公 司 黄 石 市 下 陆 附 件 2016 年 国 家 重 点 监 控 企 业 名 单 一 废 水 国 家 重 点 监 控 企 业 名 单 ( 共 2660 家 ) 湖 北 省 (132 家 ) 市 ( 地 州 盟 ) 县 ( ) 组 织 机 构 代 码 企 业 详 细 名 称 汉 阳 616400141 百 威 ( 武 汉 ) 国 际 啤 酒 有 限 公 司 青 山 177681913 武 汉 钢 铁 ( 集 团 ) 公

More information

内部刊物 注意保存

内部刊物  注意保存 新 高 考 新 思 维 部 刊 物 注 意 保 存 常 德 市 高 三 教 学 工 作 简 报 2015 年 第 6 期 主 管 : 常 德 市 教 育 局 主 办 : 常 德 市 教 科 院 本 期 责 任 编 辑 : 陈 延 军 肖 焕 之 特 刊 导 读 1. 1. 汉 寿 县 教 育 教 学 情 况 介 绍 2 2. 齐 心 协 力, 负 重 爬 坡 - 汉 寿 一 中 高 三 复 习 工

More information

TR-10_UserManual_Cht.indd

TR-10_UserManual_Cht.indd IPEVO Skype www.ipevo.com.tw 1. TR-10 1-1 TR-10 1-2 TR-10 1-3 2. 2-1 2-2 3. 4. TR-10 4-1 Skype 4-2 4-3 4-4 4-5 4-6 TR-10 4-7 5. IPEVO Control Center 5-1 IPEVO Control Center 5-2 5-3 6. freerec 6-1 freerec

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information