Low-Cost, µP Supervisory Circuits

Size: px
Start display at page:

Download "Low-Cost, µP Supervisory Circuits"

Transcription

1 概述 高精度 低功耗 MAX1937 I 2 C 接口实时时钟 / 日历 是一款低功耗 CMOS 实时时钟 / 日历芯片, 它提供一个可编程的时钟输出, 一个中断输出和一个掉电检测器, 所有的地址和数据都通过 I2C 总线接口串行传递 最大总线速度为 400Kbits/s, 每次读写数据后, 内嵌的字地址寄存器会自动递增 特性 可计时基于 kHz 晶体的秒, 分, 小时, 星期, 天, 月和年 带有世纪标志宽工作电压范围 :1.5~5.5V 低休眠电流 : 典型值为 0.25μA(V DD =3.0V, T A =25 ) I 2 C 总线从地址 : 读,0A3H; 写,0A2H 可编程时钟输出频率为 :32.768kHz,1024Hz, 32Hz,1Hz 报警和定时器 掉电检测器 内部集成振荡电容 封装形式 :SOP8/MSOP8/TSSOP8 漏极开路中断引脚 应用 便携仪器 移动电话 传真机 复费率电能表 IC 卡水表 门禁 考勤机 时钟日历 引脚逻辑图 订购信息 型号 温度范围 封装形式 ESA SOP8 EMA -40~+85 MSOP8 EHA TSSOP

2 方框图 引脚描述引脚编号 符号 简述 1 OSCI 振荡器输入 2 OSCO 振荡器输出 3 中断输出 ( 开漏 ) 4 V SS 地 5 SDA 串行数据 I/O( 开漏 ) 6 SCL 串行时钟输入 7 CLKOUT 时钟输出 ( 开漏 ) 8 V DD 正电源 最大额定值 参数 符号 最小值 最大值 单位 电源电压 V DD V 电源电流 I DD ma SCL 和 SDA 管脚输入电压 V I V OSCI 管脚输入电压 -0.5 V DD +0.5 V CLKOUT 和 管脚输出电压 V O V 所有输入口的直流输入电流 I I ma 所有输出口的直流输出电流 I O ma 总损耗功率 P 300 mw 工作温度 T A 贮存温度 T S

3 直流电特性 ( 如无特别说明,V DD =1.8~5.5V,V SS =0V;T A =-40~+85 ;f osc =32.768kHz) 参数符号测试条件最小值典型值最大值单位电源 工作电压提供可靠的时钟 / 日历数据时的工作电压工作电流 1 CLKOUT 有效 (FE=1) 工作电流 2 CLKOUT 禁止 (FE=0) 工作电流 3 CLKOUT=32.768kHz 输入 V DD I DD1 I DD2 I DD3 I 2 C 总线失效,T A = 25 [1] V I 2 C 总线有效,f=400kHz [1] V T A =25 V low V f SCL =400kHz µa f SCL =100kHz µa f SCL =0Hz,T A = 25 [2] V DD =5.0V na V DD =3.0V na V DD =2.0V na f SCL =0Hz,T A = -40~+85 [2] V DD =5.0V na V DD =3.0V na V DD =2.0V na f SCL =0Hz,T A = 25 [2] V DD =5.0V na V DD =3.0V na V DD =2.0V na f SCL =0Hz,T A = -40~+85 [2] V DD =5.0V na V DD =3.0V na V DD =2.0V na 低电平输入电压 V IL V SS - 0.3V DD V 高电平输入电压 V IH 0.7V DD - V DD V 输入漏电流 I LI V I =V DD 或 V SS µa 输入电容输出 [3] C I pf SDA 低电平输出电流 I OLS V OL =0.4V,V DD =5.0V ma 低电平输出电流 I OLI V OL =0.4V,V DD =5.0V ma CLKOUT 低电平输出电流 I OLC V OL =0.4V,V DD =5.0V ma CLKOUT 高电平输出电流 I OHC V OL =4.6V,V DD =5.0V ma 输出漏电流 I LO VO=V DD 或 V SS µa 注释 1: 加电时振荡器可靠起动 :V DD ( 最小值, 加电时 )= V DD ( 最小值 )+0.3V 注释 2: 定时器源时钟 =1/60Hz;SCL 和 SDA 都为 V DD 注释 3: 在样品基础上测试

4 交流特性 ( 如无特别说明,V DD =1.8~5.5V,V SS =0V;T A =-40~+85 ;f osc =32.768kHz) 振荡器 参数符号测试条件最小值典型值最大值单位 单边负载电容 C INT 内置在 OSCI 或 OSCO pf 振荡器稳定性 石英晶体参数 (f=32.768khz) Δf OSC / f OSC ΔV DD =200mV,T A = 串联电阻 R S kω 并联负载电容 C L pf 单边微调电容 C T 外接在 OSCI 或 OSCO pf CLKOUT 输出 CLKOUT 占空因数 [2] [3] I2C 总线定时特性 SCL 时钟周期 δ CLKOUT f SCL [1] [4] % khz 起动条件保持时间 t HDSTA µs 重复起动条件建立 时间 t SUSTA µs SCL 低电平时间 t LOW µs SCL 高电平时间 t HIGH µs SCL 和 SDA 的上升沿时间 t r µs SCL 和 SDA 的下降沿时间 t f µs 总线负载电容 C b pf 数据建立时间 t SUDAT ns 数据保持时间 t HDDAT ns 停止条件建立时间 t SUSTO µs 可接受的总线尖峰 宽度 t SW ns 注释 1: 无特别说明 f CLKOUT = kHz 注释 2: 所有定时数值在工作电压范围内 (T A 条件下 ) 有效, 参考输入电压 V SS 到 V DD 之间变化是 V IL 和 V IH 的值 注释 3:I2C 总线在两个起动和一个停止条件下的访问时间必须小于 1s

5 典型工作特性

6 功能描述 有 16 个 8 位寄存器, 一个可自动增量的地址寄存器, 一个内置 kHz 振荡器 ( 带有一个内部集成的电容 ), 一个分频器 ( 用于给实时时钟 RTC 提供时钟源 ), 一个可编程时钟输出, 一个定时器, 一个报警器, 一个掉电检测器和一个 400kHz 的 I2C 总线接口 所有 16 个寄存器设计成可寻址的 8 位并行寄存器, 但不是所有位都有用 前两个寄存器 ( 内部地址 00H,01H) 用作控制寄存器和状态寄存器, 地址 02H~08H 用于时钟计数器 ( 秒到年计数器 ), 地址 09H~ 0CH 用于报警寄存器 ( 定义报警条件 ), 地址 0DH 用于控制 CLKOUT 管脚的输出频率, 地址 0EH 和 0FH 分别用作定时器控制寄存器和定时器寄存器 秒 分钟 小时 日 月 年 分钟报警 小时报警 日报警寄存器的编码格式为 BCD 码, 星期和星期报警寄存器不以 BCD 格式编码 报警功能模式一个或多个报警寄存器 MSB(AE=Alarm Enable 报警使能位 ) 清 0 时, 相应的报警条件有效, 这样, 一个报警将在每分钟至每星期范围内产生一次 设置报警标志位 AF( 控制 / 状态寄存器 2 的位 3) 用于产生中断,AF 只能用软件清除 定时器 8 位的倒计数器 ( 地址 0FH) 由定时器控制寄存器 ( 地址 0EH, 参见表 22) 控制, 定时器控制寄存器用于设定定时器的频率 (4096Hz,64Hz,1Hz 或 1/60Hz), 以及设定定时器有效或无效 定时器从软件设置的 8 位二进制数倒计数, 每次倒计数结束时, 定时器设置标志位 TF( 参见表 4), TF 用于产生一个中断 ( ), 每个倒计数周期产生一个脉冲作为中断信号, 定时器标志位 TF 只能用软件清除 TI/TP( 参见表 4) 控制中断产生的条件 当读定时器时, 返回当前倒计数的数值 CLKOUT 输出管脚 CLKOUT 可以输出可编程的方波 CLKOUT 频率寄存器 ( 地址 0DH, 参见表 20) 决定输出方波的频率, 可以输出 kHz( 缺省值 ),1024Hz,32Hz 和 1Hz 的方波 CLKOUT 为漏极开路输出管脚, 通电时有效, 无效时为高阻抗 复位 内置一个复位电路, 当振荡器停止工作时, 复位电路开始工作 在复位状态下,I2C 总线被初始化, 所有寄存器 ( 包括地址指针 ) 除 TF VL TD1 TD0 TESTC AE 位被置为逻辑 1 外, 都将被清零

7 寄存器结构 表 1. 寄存器概况 标明 的位无效, 标明 0 的位应置为逻辑 0 地址 寄存器名称 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit0 00H 控制 / 状态寄存器 1 TEST 0 STOP 0 TESTC H 控制 / 状态寄存器 TI/TP AF TF AIE TIE 0DH CLKOUT 频率寄存器 FE FD1 FD0 0EH 定时器控制寄存器 TE TD1 TD0 0FH 定时器倒计数寄存器 定时器倒计数数值 表 2.BCD 格式寄存器概况 标明 的位无效 地址 寄存器名称 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit0 02H 秒 VL 00~59BCD 码格式数 03H 分钟 00~59BCD 码格式数 04H 小时 00~23BCD 码格式数 05H 日 01~31BCD 码格式数 06H 星期 0~6 07H 月 / 世纪 C 01~12BCD 码格式数 08H 年 00~99BCD 码格式数 09H 分钟报警 AE 00~59BCD 码格式数 0AH 小时报警 AE 00~23BCD 码格式数 0BH 日报警 AE 01~31BCD 码格式数 0CH 星期报警 AE 0~6 控制 / 状态寄存器 1 表 3. 控制 / 状态寄存器 1( 地址 00H) 位描述 7 TEST1 TEST1=0: 普通模式 TEST1=1:EXT_CLK 测试模式 5 STOP STOP=0:RTC 时钟运行 ;STOP=1: 所有 RTC 分频器异步置为逻辑 0,RTC 时钟停止运行 (CLKOUT 在 kHz 时依然可用 ) 3 TESTC TESTC=0: 电源复位功能失效 ( 普通模式时置为逻辑 0) TESTC=1: 电源复位功能有效 6,4,2~0 缺省值为逻辑

8 控制 / 状态寄存器 2 位 TF 和 AF: 当一个报警发生时,AF 被置为逻辑 1 类似的, 在定时器的倒数计数结束时,TF 被置为逻辑 1 只能通过软件来修改这两位的值 如果在应用中同时需要用到定时器和报警中断, 可以通过读这两个字节来确定中断源 在一个写周期中清除位时, 为了防止重写标志位, 需要执行一个逻辑与操作 位 TIE 和 AIE: 这两位用来激活中断的产生 当 AIE 和 TIE 被置位时, 中断为这两位的逻辑或 表 4. 控制 / 状态寄存器 2( 地址 01H) 的位描述 7,6,5 缺省值为逻辑 0 4 TI/TP TI/TP=0: 当 TF 有效时,INT 有效 ( 取决于 TIE 的状态 ) TI/TP=1:INT, 脉冲有效, 见表 5( 取决于 TIE 的状态 ) 注意 : 若 AF 和 AIE 都有效时, 则 INT 一直有效 3 AF AF=0: 读操作时, 报警标志无效 ; 写操作时, 报警标志被清除 AF=1: 读操作时, 报警标志有效 ; 写操作时, 报警标志保持不变 2 TF TF=0: 读操作时, 定时器标志无效 ; 写操作时, 定时器标志被清除 TF=1: 读操作时, 定时器标志有效 ; 写操作时, 定时器标志保持不变 1 AIE AIE=0: 报警中断被禁止 AIE=1: 报警中断被使能 0 TIE TIE=0: 定时器中断被禁止 TIE=1: 定时器中断被使能 表 5. 操作 ( 位 TI/TP=1) 时钟源 (Hz) [1] TF 和同时有效 [1] ~INT 周期 n=1 [2] n > /8192 1/ /128 1/64 1 1/64 1/64 1/60 1/64 1/64 [2] n 为倒计数定时器的数值, 当 n=0 时, 定时器停止工作

9 秒 分钟和小时寄存器 表 6. 秒 /VL 寄存器 ( 地址 02H) 位描述 6~0 ( 秒 ) 代表 BCD 格式的当前秒数值, 值为 00~99, 例如 : 代表 59 秒 7 VL 掉电指示位 VL=0: 指示未掉电 ;VL=1: 指示已掉电 使用时可屏蔽此位显示 表 7. 分钟寄存器 ( 地址 03H) 位描述 7 无效 6~0 ( 分钟 ) 代表 BCD 格式的当前分钟数值, 值为 00~59 表 8. 小时寄存器 ( 地址 04H) 位描述 7,6 无效 5~0 ( 小时 ) 代表 BCD 格式的当前小时数值, 值为 00~23 日 星期 月 / 世纪和年寄存器 表 9. 日寄存器 ( 地址 05H) 位描述 7,6 无效 5~0 ( 日 ) 代表 BCD 格式的当前日数值, 值为 01~31 当年计数器的值是闰年是,BD8583 自动给二月增加一个值, 使其成为 29 天 表 10. 星期寄存器 ( 地址 06H) 位描述 7~3 无效 2~0 ( 星期 ) 代表当前星期数值, 值为 0~6 见表 11, 这些位也可有用户重新分配 表 11. 星期分配表 日 位 2 位 1 位 0 星期日 星期一 星期二 星期三 星期四 星期五 星期六

10 表 12. 月 / 世纪寄存器 ( 地址 07H) 位描述 7 C 世纪位 :C=0 指定世纪数为 20XX;C=1 指定世纪数为 19XX, XX 为年寄存器中的值, 见表 14 当年由 99 变为 00 时, 世纪为会改变 6,5 无效 4~0 ( 月 ) 代表 BCD 格式的当前月份数值, 值为 01~12, 见表 13 表 13. 月份分配表 月份 位 4 位 3 位 2 位 1 位 0 一月 二月 三月 四月 五月 六月 七月 八月 九月 十月 十一月 十二月 表 14. 年寄存器 ( 地址 08H) 位描述 位号符号描述 7~0 ( 年 ) 代表 BCD 格式的当前年数值, 值为 00~99 报警控制寄存器 当一个或多个报警寄存器写入合法的分钟 小时 日或星期数值并且它们相应的 AE(Alarm Enable) 位为逻辑 0, 以及这些数值与当前的分钟 小时 日或星期数值相等, 标志位 AF(Alarm Flag) 被设置, AF 保存设置值直到被软件消除为止,AF 被清除后, 只有在时间增量与报警条件再次相匹配时才可再被设 置 报警寄存器在它们相应位 AE 置为逻辑 1 时将被忽略 表 15. 分钟报警寄存器 ( 地址 09H) 位描述 7 AE AE=0, 分钟报警有效 ;AE=1, 分钟报警无效 6~0 分钟报警 代表 BCD 格式的分钟报警数值, 值为 00~59 表 16. 小时报警寄存器 ( 地址 0AH) 位描述 7 AE AE=0, 小时报警有效 ;AE=1, 小时报警无效 5~0 小时报警 代表 BCD 格式的小时报警数值, 值为 00~

11 表 17. 日报警寄存器 ( 地址 0BH) 位描述 7 AE AE=0, 日报警有效 ;AE=1, 日报警无效 5~0 日报警 代表 BCD 格式的日报警数值, 值为 00~31 表 18. 星期报警寄存器 ( 地址 0CH) 位描述 7 AE AE=0, 星期报警有效 ;AE=1, 星期报警无效 2~0 星期报警 代表 BCD 格式的星期报警数值, 值为 00~59 CLKOUT 频率寄存器 表 19.CLKOUT 频率寄存器 ( 地址 0DH) 位描述 7 FE FE=0:CLKOUT 输出被禁止并设成高阻抗 FE=1:CLKOUT 输出有效 6~2 无效 1 FD1 用于控制 CLKOUT 的频率输出管脚 (f CLKOUT ), 见表 20 0 FD0 用于控制 CLKOUT 的频率输出管脚 (f CLKOUT ), 见表 20 表 20.CLKOUT 频率选择表 FD1 FD0 f CLKOUT kHz Hz Hz 1 1 1Hz 倒计数定时器寄存器 定时器寄存器是一个 8 位字节的倒计数定时器, 它由定时器控制器中的位 TE 决定有效或无效, 定时 器的时钟也可以由定时器控制器选择, 其它定时器功能, 如中断产生, 由控制 / 状态寄存器 2 控制 为了能 精确读回倒计数的数值,I2C 总线时钟 SCL 的频率应至少为所选定定时器时钟频率的两倍 表 21. 定时器控制寄存器 ( 地址 0EH) 位描述 7 TE TE=0: 定时器无效 ;TE=1: 定时器有效 6~2 无用 1 0 TD1 定时器时钟频率选择位, 决定倒计数定时器的时钟频率, 见表 22, 不用时 TD1 和 TD0 应设为 11 (1/60Hz), 以降低电源损耗

12 表 22. 定时器时钟频率选择 TD1 TD0 定时器时钟频率 (Hz) /60 表 23. 定时器倒计数数值寄存器 ( 地址 0FH) 位描述 位号符号描述 7~0 定时器倒计数数值倒计数数值 n, 倒计数周期 =n/ 时钟频率 电源复位 (POR) 失效模式 POR 的持续时间直接与振荡器的起动时间有关 一种内嵌的长时间起动的电路可使 POR 失效, 这样可使设备测试加速 这种模式的设定要求 I2C 总线管脚 SDA 和 SCL 的信号波形如图 9 所示, 图中所有的时间值为所需的最小值 当进入失效模式时, 芯片立即停止复位, 操作通过 I2C 总线进入 EXT_CLK 测试模式 设置位 TESTC 逻辑 0 可消除失效模式, 再次进入失效模式只有在设置 TESTC 为逻辑 1 后进行 在普通模式时设置 TESTC 为逻辑 0 没有意义, 除非想阻止进入 POR 失效模式 串行接口 采用的是串行 I2C 总线接口

13 I 2 C 总线特性 I2C 总线通过两条线 SDA 和 SCL 在不同的芯片和模块间传递信息 SDA 为串行数据线,SCL 为串行时钟线, 两条线必须用一个上拉电阻与正电源相连 数据只在总线不忙时才可传送 系统配置参见图 10, 产生信息的器件是传送器, 接收信息的器件是接收器, 控制信息的器件是主器件, 受控制的器件是从器件 起动 (START) 和停止 (STOP) 条件 总线不忙时, 数据线和时钟线保持高电平, 数据线在下降沿 时钟线为高电平时为起动条件 (S), 数 据线在上升沿 时钟线为高电平时为停止条件 (P) 参见图 11 位传送 每个时钟脉冲传送一个数据位,SDA 线上的数据在时钟脉冲高电平时应保持稳定, 否则 SDA 线上的 数据将成为上面提到的控制信号, 参见图

14 应答位在起动条件和停止条件之间发送器发给接收器的数据数量没有限制 每个 8 位字节后加一个应答标志位, 发送器产生高电平的应答标志位, 这时主器件产生一个附加应答标志时钟脉冲 从接收器必须在接收到每个字节后产生一个应答标志位, 主接收器也必须在接收从发送器发送的每个字节后产生一个应答标志位 在应答标志位时钟脉冲出现时,SDA 线应保持低电平 ( 应考虑起动和保持时间 ) 发送器应在从器件接收最后一个字节时变为低电平, 使接收器产生应答标志位, 这时主器件可产生停止条件 I 2 C 总线协议注意 : 用 I2C 总线传递数据前, 接收器件应先标明地址, 在 I2C 总线起动后, 这个地址与第一个传送字节一起被传送 可以作为一个从接收器或从发送器, 这时, 时钟信号线 SCL 只能是输入信号线, 数据信号线 SDA 是一条双向信号线 的从地址参见图

15 时钟 / 日历的读 / 写周期 的串行 I2C 总线读 / 写周期有三种配置, 参见图 15,16,17, 图中字地址是 4 个位的数, 用 于指出下一个要访问的寄存器, 字地址的高四位无用

16 晶振选择晶振可通过引脚 1 和 2(OSCI,OSCO) 直接连接至 为确保产生准确的频率, 建议使用负载电容 C L 为 6pF 串联电阻 ESR 小于 50KΩ 的 32768Hz 晶振,OSCI/OSCO 两端各连接 6pF 微调匹配电容 晶振参数表 : 参数名称 符号 最小值 典型值 最大值 单位 频率 f KHz 负载电容 C L pf 串联电阻 ESR KΩ 典型应用电路图 注 :1. 如使用负载电容为 6pF 的 KHz 晶振, 则需在 OSCI OSCO 脚连接约 6pF 匹配电容用以调节初始精度 2. 如使用负载电容为 12.5pF 的 KHz 晶振, 则需在 OSCI OSCO 脚连接约 19pF 匹配电容用以调节初始精度 3. 如果 VCC 电源线上有噪声或者干扰信号发生, 建议在电源线上增加电阻 电容进行过滤

17 封装信息 SOP8 封装 MSOP8 封装

18 TSSOP8 封装

Low-Cost, µP Supervisory Circuits

Low-Cost, µP Supervisory Circuits I 2 C 实时时钟 H YM1302 / 日历芯片钟 特点 可计时基于 32.768kHz 晶体的秒, 分, 小时, 星期, 天, 月和年 带有世纪标志 宽工作电压范围 :2.0~5.5V 低休眠电流 : 典型值为 0.25μA(V DD =3.0V, T A =25 ) I 2 C 总线从地址 : 读,0A3H; 写,0A2H 应用 便携仪器 移动电话 门禁 可编程时钟输出频率为 :32.768kHz,1024Hz,

More information

1. 报警功能模式一个或多个报警寄存器 MSB AE=Alarm Enable 报警使能位 清 时 相应的报警条件有效 这样 一个报警将在每分钟至每星期范围内产生一次 设置报警标志位 AF 控制 状态寄存器 的位 用于产 生中断 AF 只可以用软件清除 2. 定时器位的倒计数器 地址 0FH 由定时

1. 报警功能模式一个或多个报警寄存器 MSB AE=Alarm Enable 报警使能位 清 时 相应的报警条件有效 这样 一个报警将在每分钟至每星期范围内产生一次 设置报警标志位 AF 控制 状态寄存器 的位 用于产 生中断 AF 只可以用软件清除 2. 定时器位的倒计数器 地址 0FH 由定时 PCF8563 日历时钟芯片原理及应用设计 一概述 PCF8563 是 PHILIPS 公司推出的一款工业级内含 I 2 C 总线接口功能的具有极低功耗的多功能时钟 / 日 历芯片 PCF8563 的多种报警功能 定时器功能 时钟输出功能以及中断输出功能能完成各种复杂的定时 服务 甚至可为单片机提供看门狗功能 内部时钟电路 内部振荡电路 内部低电压检测电路 1.0V 以 及两线制 I 2 C 总线通讯方式

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

Microsoft Word - HYM1307_CN.doc

Microsoft Word - HYM1307_CN.doc 带 56 字节 RAM 的 I 2 C 串行实时时钟芯片 特点 基于 32.768 khz 的石英晶体, 可对秒, 分, 时, 日, 月, 周以及带闰年补偿的年进行计数 带备用电池的 56 字节非易失性 RAM I 2 C 串行总线接口 可编程方波输出 自动掉电检测及电源切换电路 电池供电下, 振荡器工作时的消耗小于 500nA 可选的工业温度范围 :-40 至 +85 封装形式 :DIP8 和 SOP8

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 低功耗实时时钟芯片 (RTC)BL5372 1. 概述 BL5372 是一款低功耗实时时钟电路, 通过 I 2 C 两线接口电路可以与 CPU 实时通信, 主要用于一切需要提供时基的系统中 该芯片能够产生多种周期性中断脉冲 ( 最长周期可长达 1 个月 ), 还具有两套报时系统 BL5372 内部集成一低功耗的稳压电源, 故能够使恶劣的环境条件下仍能保持振荡器正常在很低的功耗工作 ( 典型值 :400nA@3.6V)

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St 19-6682; Rev 0; 5/13 备 有 评 估 板 DS1339B 概 述 DS1339B 串 行 实 时 时 钟 (RTC) 是 低 功 耗 时 钟 / 日 期 器 件, 带 有 两 路 可 编 程 日 期 / 时 间 计 时 闹 钟 和 一 路 可 编 程 方 波 输 出 通 过 I 2 C 总 线 串 行 传 输 数 据 和 地 址 时 钟 / 日 期 提 供 秒 分 时 星 期 日

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

TONE RINGER

TONE RINGER 1/3 占空比通用 156 段 LCD 显示驱动电路 描述 SC75823E/W 是一个通用 LCD 驱动器, 可以用于微处理器控制的收音机的频率显示及其他显示应用 此外还可以最多直接驱动 156 个 LCD 字符段 主要特点 * 支持 1/3 占空比 1/2 偏置和 1/3 占空比 1/3 偏置的 LCD, 通过串行数据控制可以驱动多达 156 个字符段 * 和系统控制电路之间的串行数据通信支持

More information

GMC G系列表价_FY1516_0314.xlsx

GMC G系列表价_FY1516_0314.xlsx SINAMICS G0 变频器 kw 额定输出电流 A 1AC 200-20V 版本 Version 模拟量 0.12 0.9 USS 模拟量 ( 带平板散热器 ) A USS ( 带平板散热器 ) 模拟量 0.25 1.7 USS 模拟量 ( 带平板散热器 ) A USS ( 带平板散热器 ) 模拟量 0.7 2. USS 模拟量 ( 带平板散热器 ) A USS ( 带平板散热器 ) 模拟量.2

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

I2C RTC

I2C RTC M41T82, M41T83 带有电池自动切换功能的串行 I 2 C 总线实时时钟 (RTC) 数据手册 - 生产数据 QFN16 (4 mm x 4 mm) SO8 (4.90 mm x 3.90 mm) 18 1 SOX18, 内嵌晶体 (11.61 mm x 7.62 mm) 复位 (RST) 输出 看门狗定时器 可编程 8 位计数器 / 定时器 电池备份的 7 字节用户 SRAM 电池低电压标志

More information

Microsoft Word - cat1163.doc

Microsoft Word - cat1163.doc 带 I 2 C 串行 CMOS EEPROM, 精密复位控制器和看门狗定时器的监 控电路 -CAT1163(16k) 特性 看门狗定时器输入 (WDI) 兼容 400KHz 的 I 2 C 总线 操作电压范围为 2.7V~6.0V 低功耗 CMOS 技术 16 字节的页写缓冲区 内置误写保护电路 Vcc 锁定 写保护管脚 WP 复位高电平或低电平有效 精确的电源电压监控 支持 5V,3.3V 和 3V

More information

校园之星

校园之星 V L V L L T O O gu G G an b y t A d g A B A B su U U U U U U U U N N N N N N S T L L B DD E & L L L & DG

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH7000 Series • ±3.0 %RH Accuracy

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH7000 Series • ±3.0 %RH Accuracy 数字式温湿度传感器 HIH7000 系列 ±3.0 %RH( 相对湿度 ) 精度 潜在应用 工业 HVAC/R 可用于空调 / 空气流动系统 热焓传感器 恒温器 增湿器 / 除湿器和湿度调节器, 提供精确的相对湿度和温度测量值, 以维持人体舒适或适合储藏的最佳温湿度, 同时还可实现低能耗, 保证系统精度与质量, 优化系统正常运行时间, 以及提高系统的整体性能 空气压缩机 提供压缩空气管路中精确的相对湿度测量值,

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

CM ZT1

CM ZT1 Spansion 模拟和微控制器产品 本文档包含有关 Spansion 模拟和微控制器产品的信息 尽管本文档内有原来开发该产品规格的公司名称 富士通 或 Fujitsu, 该产品将由 Spansion 提供给现有客户和新客户 规格的延续本文档内容并不因产品供应商的改变而有任何修改 文档内容的其他更新, 均为改善文档而进行, 并已记录在文档更改摘要 日后如有需要更改文档, 其更改内容也将记录在文档更改摘要

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

RTC

RTC 具有报警功能的低功耗串行实时时钟 (RTC) 数据手册 - 生产数据 内嵌晶体 LCC8 1.5 x 3.2mm 无需外部晶体 特性 串行实时时钟 (RTC), 具有报警功能 400 khz I 2 C 串行接口 可记录秒 分 小时 星期 日期 月 年和世纪的存储器映射寄存器 1/10 秒 1/100 秒寄存器 350 na 计时电流 @3 V 计时工作电压低至 1.0 V 1.3 V 至 4.4

More information

DS28CM00 data sheet

DS28CM00 data sheet DS28CM00 I 2 C/SMBus 硅序列号 www.maxim-ic.com.cn 说明 DS28CM00 以极低成本提供一个电子注册号, 具有唯一的识别码, 可通过工业标准的 I 2 C 和 SMBus 总线接口访问 注册号是由工厂光刻的 64 位 ROM 码, 其中包括 48 位序列号 8 位 CRC 和 8 位家族吗 (70h) 在 SMBus 模式下,DS28CM00 在检测到总线故障时复位总线

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

Ps22Pdf

Ps22Pdf VRML V L V L L T O O gu G G an b y t A d g A B A B s u U U U U U U U U N N N N N N S T L L B DD E & L L L& DG W

More information

CAT24WC01/02/04/08/16 1K/2K/4K/8K/16K 位串行 E 2 PROM 特性 与 400KHz I 2 C 总线兼容 1.8 到 6.0 伏工作电压范围低功耗 CMOS 技术 写保护功能当 WP 为高电平时进入写保护状态 页写缓冲器自定时擦写周期 1,000,000 编

CAT24WC01/02/04/08/16 1K/2K/4K/8K/16K 位串行 E 2 PROM 特性 与 400KHz I 2 C 总线兼容 1.8 到 6.0 伏工作电压范围低功耗 CMOS 技术 写保护功能当 WP 为高电平时进入写保护状态 页写缓冲器自定时擦写周期 1,000,000 编 目录 1 CSI24WC0 1/02/04/08/16.2-10 2 CSI24WC32/64...11-18 3 CSI24WC128....19-26 4 CSI24WC256....27-34 1 CAT24WC01/02/04/08/16 1K/2K/4K/8K/16K 位串行 E 2 PROM 特性 与 400KHz I 2 C 总线兼容 1.8 到 6.0 伏工作电压范围低功耗 CMOS

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

DATASHEET SEARCH SITE |

DATASHEET SEARCH SITE | 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 1. 概 述 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU 实 时 通 信, 主 要 用 于 一 切 需 要 提 供 时 基 的 系 统 中 该 芯 片 能 够 产 生 多 种 周 期 性 中 断 脉 冲 ( 最 长 周 期 可 长 达 1 个 月 ), 还

More information

6 月 1 日 10:23 恒 生 电 力 板 块 跌 幅 排 名 下 降 5 位 10:23:24 恒 生 电 力 板 块 快 速 拉 升 0.15 个 百 分 点, 报 6.76 点, 板 块 排 名 从 跌 幅 9 位 跌 至 跌 幅 第 7 位 行 业 内 闽 东 电 力 (000993.S

6 月 1 日 10:23 恒 生 电 力 板 块 跌 幅 排 名 下 降 5 位 10:23:24 恒 生 电 力 板 块 快 速 拉 升 0.15 个 百 分 点, 报 6.76 点, 板 块 排 名 从 跌 幅 9 位 跌 至 跌 幅 第 7 位 行 业 内 闽 东 电 力 (000993.S 2016 年 6 月 1 日 DT 稿 王 生 成 部 分 稿 件 样 本 中 国 制 造 业 采 购 经 理 指 数 (PMI) 为 50.1% 国 家 统 计 局 6 月 1 日 公 布,2016 年 5 月 份, 中 国 制 造 业 采 购 经 理 指 数 (PMI) 为 50.1%, 与 上 月 持 平, 连 续 三 个 月 位 于 扩 张 区 间 非 制 造 业 商 务 活 动 指 数 为

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流源, 可以在每个输出端口提供 1mA 32mA 的恒定电 流 ; 且单颗 IC 片内输出电流差异小于 ±2.5%; 多颗 IC 间的输出电流差异小于 ±3.5%; 通道输出电流不随着输

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

一、 概述

一、 概述 概述 FZH119 是一种 LED( 发光二极管显示器 ) 驱动控制与用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动等电路 本产品性能优良, 质量可靠 主要应用于电子产品 LED 显示屏驱动 采用 SOP28 的封装形式 特性说明 采用 CMOS 工艺 显示模式 (8 段 16 位 ) 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (SCLK,DIN) 振荡方式 : 内置

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

Microsoft Word - ±£Ö¤PCF8563ʵʱʱÖÓ¾«¶ÈµÄÉè¼Æ·½·¨.doc

Microsoft Word - ±£Ö¤PCF8563ʵʱʱÖÓ¾«¶ÈµÄÉè¼Æ·½·¨.doc 保证 PCF8563 实时时钟精度的设计方法 目录 1 介绍... 2 2 比较... 2 3 特性... 2 4 上电复位... 2 5 振荡器... 2 6 振荡器调谐... 5 7 Y2K... 6 8 初始化... 6 9 警告... 7 10 定时器... 7 11 PC 板的布线... 8 12 部分电路关闭 保护二极管... 8 13 保持低功耗的提示... 9-1 - 1 介绍 Philips

More information

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH9000 Series • ±1.7 %RH Accuracy

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH9000 Series • ±1.7 %RH Accuracy 数字式温湿度传感器 HIH9000 系列 ±.7 %RH( 相对湿度 ) 精度 数据手册 HIH93 一个传感器可提供两种功能! ±.7 %RH 精度 ( 湿度性能 ) ±0.6 C 精度 (BFSL 最佳拟合直线精度 )( 温度性能 ) 工作温度范围 :-40 C - 5 C [-40 F - 57 F] ±.0 %RH 迟滞 HIH930 该系列传感器提供多种封装类型 客户可以选择外壳类型 (SIP

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在

當 地 情 形 還 不 熟 悉 4 得 勝 的 歡 似 虎 : 形 容 因 勝 利 而 得 意 忘 形 5 不 吃 無 工 之 食 : 比 喻 人 不 能 無 緣 無 故 接 受 優 待 或 贈 與 4. 請 根 據 文 意, 在 中 填 入 正 確 的 成 語 代 號 ( 甲 ) 優 游 自 在 國 二 國 文 範 圍 :B3: 第 二 課 美 猴 王 一 國 字 及 注 音 1. 拱 ㄈㄨˊ 無 違 : 2. 拍 手 稱 ㄧㄤˊ : 3. 詼 ㄒㄧㄝˊ 風 趣 : 4. ㄔㄢˊ 鬥 : 5. 搔 癢 : 6. ㄓㄤ 頭 鼠 目 : 7. 玩 ㄕㄨㄚˇ : 8. 石 竅 : 9. 採 花 ㄇㄧˋ 果 : 10. 長 途 ㄅㄚˊ 涉 : 11. 喜 不 自 勝 : 12. 進 ㄓㄨˋ 水 簾

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

I Q = M A C A 100 6 100 120 = 11 100 = 110 5 10 1 1 2 3 4 5 2 1 2 3 4 5 3 1 2 3 4 5 4 1 2 3 4 5 5 1 2 3 4 5 6 1 2 3 4 5 7 1 2 3 4 5 8 1 2 3 4 5 9 1 2 3 4 5 10 1 2 3 4 5 11 1 2 3 4 5 12 1 2 3 4

More information

Microsoft Word - ET6621.doc

Microsoft Word - ET6621.doc Etek Microelectronics ET6621 LCD 控制驱动电路 概述 ET6621 是用来对 MCU 的 I/O 口进行扩展的外围设备 显示矩阵为 32 4, 是一个 128 点阵式存储器映射多功能 LCD 驱动电路 ET6621 的软件特性使它很适合应用于 LCD 显示, 包括 LCD 模块和显示子系统 在主控制器和 ET6621 之间的接口应用只需要 3 或 4 个端口 Power

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

DH27600数据手册.indd

DH27600数据手册.indd DH27600 系列 大功率可编程直流电子负载 数据手册 DH27600 系列大功率可编程直流电子负载 DH27600 系列是一款高稳定性直流电子负载, 提供 800W~45KW 输入功率范围, 满足用户多种测试需求 ; 具有 CC CV CP CR CC+CV 五种工作模式, 并且具备动态和列表等灵活的动态模式 过压 过流 过功率 过温等多种保护功能为用户安全使用提供保障 ; 标配 LAN RS232

More information

TONE RINGER

TONE RINGER 四通道低压 5V 全桥驱动描述 是一款四通道低压 5V 全桥驱动芯片, 为摄像 机 消费类产品 玩具和其他低压或者电池供电的运动控 制类应用提供了集成的电机驱动解决方案 能提供高达 0.8A 的输出电流 可以工作在 1.8~6V 的电源电压上 具有 PWM(IN1/IN2) 输入接口, 与行业标准器件 兼容, 并具有过温保护功能 具有省电模式 主要特点 四通道 H 桥电机驱动器 -- 驱动直流电机或其他负载

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

TONE RINGER

TONE RINGER 4A 700V N 沟道增强型场效应管 描述 SVF4N70F N 沟道增强型高压功率 MOS 场效应晶体管采用士兰微电子 F-Cell TM 平面高压 VDMOS 工艺技术制造 先进的工艺及条状的原胞设计结构使得该产品具有较低的导通电阻 优越的开关性能及很高的雪崩击穿耐量 该产品可广泛应用于 AC-DC 开关电源,DC-DC 电源转换器, 高压 H 桥 PWM 马达驱动 特点 4A,700V,R

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 工作电压为 3.3V 5V, 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流 ; 且单颗 IC 片内输出通道的电流差异小于 ±3%;

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

!"# $% & $%%% ( ")*+,-./00-(11.-. $%! $ " # $ % & ( - ) +%23!"# $%%% %,.%,!" $%.! 1.% & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! ")*+,-./00-(11

!# $% & $%%% ( )*+,-./00-(11.-. $%! $  # $ % & ( - ) +%23!# $%%% %,.%,! $%.! 1.% & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! )*+,-./00-(11 !"# $% & $%%% ( ")*+,-./00-(11.-. $%! $ " # $ % & ( - ) +%23!"# $%%% %,.%,!" $%.! 1.% 4 3301 3 & /$ 3(,. ( /0% $%%% ( $%%% ( 3 5 /6%%%! ")*+,-./00-(11.-. & " 2./ $. %% !" #!!"""!"!"!"!" "!!#!#!#!# "!###!!$

More information

!! "!! "! "!! "! "! "!!#$% & ()*+, -./!000$ 1-2$##0! 3

!! !! ! !! ! ! !!#$% & ()*+, -./!000$ 1-2$##0! 3 ! !! "!! "! "!! "! "! "!!#$% & ()*+, -./!000$ 1-2$##0! 3 !" #" $%& " (" ) ( !!" #" #$$$! #$$%!# & !" #" $" % !!" #" $" %"! &! &!! &! &! !" #$% #$% &" " (" )" * !!!!!!!!!!!! "!!"!! "!! " # " # " # $ "%

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

Applications

Applications 概述 FM1905 是 24 点 内存映象和多功能的 LCD 驱动器,FM1905 的软件配置特性使它适用于多种 LCD 应用场合, 包括 LCD 模块和显示子系统 用于连接主控制器和 FM1905 的管脚只有 3 条,FM1905 还有一个节电命令用于降 低系统功耗 特点 工作电压 3.0 ~5.0V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入 可选 1/2

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 1.8 至 0MHz 频率范围内的各类窄 宽带功放 为适应高 VSWR 应用的增强型鲁棒性设计 最高工作 VDD 可达 50V 适用于 28V 至 50V 范围内供电电压, 方便不同功率等级功放设计 优异的功率线性度 优异的热稳定性 内部集成的增强 ESD 设计 符合 RoHS 规范 HTH7G06P500H 封装 :H2110S-4L

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

管脚配置 底板插口配置 芯片大小 (mil) 2-2 -

管脚配置 底板插口配置 芯片大小 (mil) 2-2 - HOLTEK HT1621 LCD 驱动器 特性 * 工作电压 2.4 5.2V * 内嵌 256KHz RC 振荡器 * 可外接 32KHz 晶片或 256KHz 频率源输入 * 可选 1/2 或 1/3 偏压和 1/2 1/3 或 1/4 的占空比 * 片内时基频率源 * 蜂鸣器可选择两种频率 * 节电命令可用于减少功耗 * 内嵌时基发生器和看门狗定时器 WDT * 时基或看门狗定时器溢出输出

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

AC7205

AC7205 PDA 4.2V 1% V MIN 3V V MIN I REG R1 V REG LED 4.2V 1% Sleep I TERM V RECHG V REG 125mV SOP8 MSOP8 0.5 0.5 CS2/LEDT 7 BAT 4 5 DRIVE VCC 8 2 TS 6 CS1 1 LEDS 3 VSS 1-1 - SN 4.2V 4.075V SOP 2500 MN 4.2V 4.075V

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

何宇

何宇 MXT08 型电路 目录 概述 :... 特性 :... 电路结构图 :... 5 引脚坐标 :... 4 6 主要信号说明 :... 5 7 绝对最大额定值 :...6 8 电气特性 :... 6 9 LCD 参考图 :... 6 0 流程图 :... 7 功能描述 :...8 应用电路图 :... 9 概述 : MXT08 为一款高效能 CMOS 数字临床测量人体体温 IC, 测量范围从.0 C~4.9

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

AN 502: Implementing an SMBus Controller in MAX II CPLDs

AN 502: Implementing an SMBus Controller in MAX II CPLDs 在 MAX II CPLD 中实现 SMBus 控制器 2007 年 12 月, 1.0 版 应用笔记 502 引言 SMBus 本文档介绍 Altera MAX II CPLD 中的系统管理总线 (SMBus) 控制器 通过实例展示了 MAX II CPLD 的通用性 SMBus 作为一种 I 2 C, 是两线接口, 各种系统组成都可以通过它来进行通信 在任何时候都只有一个器件能够控制总线, 和一个从机或者多个从机进行通信

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 环境光传感器驱动 王安然 STEP FPGA BH1750 BH1750 引脚介绍 BH1750 硬件连接 ADDR 管脚接下拉电阻,I2C 设备 BH1750 从机地址为 0100011,7 h23 DVI 管脚连接 FPGA 管脚,FPGA 控制异步复位操作 I2C 总线介绍 由飞利浦开发并获得专利 ( 现属 NXP), 将低速外围设备连接至主板 嵌入式系统或其它设备 特性 - 是一种支持多主机的串行总线

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

1971 7 6 1993 3 8 1993 2 17 1992 2 5 1991 2 3 1 2 1972 2 217 1972 1 270 1987 113 1988 296 1989 132 1990 12 30 1990 8 2 1992 2 7 1986 3 1 1960 28 1960 31 1945 1950 1987 17 1972 1 1 1972 1 39 1972 2 301

More information

MergerPdf.dll

MergerPdf.dll [2015] 2 2014 : 2014 2015 " 2014 2014 20l4 ( ) 8 ; " " 2 4 ; "10 18 ( ) 64 2 " " " 67 " " " " 3 " 2 " " " " 4 4 3 E 5 " 13 36 ; 25 20 5 ; l3 " " ( ) " " 16 1 2 7 6 12 1 " " 1 " " 4-3- 36 ( ) " " " 250

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information

OB1

OB1 SIMATIC 克索稳定杆淬火机 20150813\ 2018-06-14 09:29: 30 OB1 - < 离线 > Cycle Execution 名称 : 系列 : 作者 : 版本 : 0.1 块版本 : 2 时间标志代码 : 2018-02-10 14:06:32 接口 : 1996-02-15 16:51:12 长度 ( 块 / 逻辑 / 数据 ): 11154 10520 00030

More information

10 t10 論 病 例 計 酬 案 件 申 請 件 數 11 t11 論 病 例 計 酬 案 件 日 數 12 t12 論 病 例 計 酬 案 件 醫 療 費 用 點 數 6 9 案 件 分 類 2( 論 病 例 計 酬 案 件 ) 之 申 請 件 數 加 總 8 9 案 件 分 類 2( 論 病

10 t10 論 病 例 計 酬 案 件 申 請 件 數 11 t11 論 病 例 計 酬 案 件 日 數 12 t12 論 病 例 計 酬 案 件 醫 療 費 用 點 數 6 9 案 件 分 類 2( 論 病 例 計 酬 案 件 ) 之 申 請 件 數 加 總 8 9 案 件 分 類 2( 論 病 全 民 健 康 保 險 特 約 醫 事 服 務 機 構 住 院 醫 療 費 用 點 數 申 報 格 式 及 填 表 說 明 (XML 檔 案 格 式 - 修 正 版 ) 媒 体 格 式 ( 一 ) 總 表 段 新 編 1 * t1 資 料 格 式 2 X 請 填 "20" 住 院 醫 療 服 務 申 報 資 料 格 式 代 碼 2 * t2 服 務 機 構 代 10 X 衛 生 署 編 定 之 代

More information