版本控制页 版本号发布日期更改章节更改说明备注 增加 1.3 免责声明 ; 修改 6.7 产品防护 章节 ; 增加附录 1 对应替代国外产品 情况

Size: px
Start display at page:

Download "版本控制页 版本号发布日期更改章节更改说明备注 增加 1.3 免责声明 ; 修改 6.7 产品防护 章节 ; 增加附录 1 对应替代国外产品 情况"

Transcription

1 Ver 位总线收发器 产品使用手册 产品型号 :B54LVT162245

2 版本控制页 版本号发布日期更改章节更改说明备注 增加 1.3 免责声明 ; 修改 6.7 产品防护 章节 ; 增加附录 1 对应替代国外产品 情况

3 目录 一 产品概述 产品特点 产品用途及应用范围 免责声明... 1 二 产品工作条件 绝对最大额定值 推荐工作条件 热特性参数... 2 三 封装及引出端说明 引出端排列 外形尺寸说明... 4 四 产品功能... 5 五 产品电特性... 6 六 应用注意事项 输入信号要求 未使用输入端的处理 对电源的要求 冷备份应用要求 输出振铃抑制 去耦电容的选择 产品防护 七 用户关注产品信息 产品鉴定信息 产品标识 研制生产单位联系方式 附录 1 对应替代国外产品情况... 14

4 一 产品概述 1.1 产品特点 电源电压范围 :+2.7 V~3.6 V 最大输入低电平电压 (VIL):0.8V 最小输入高电平电压 (VIH):2.0V 最大高电平输出电流 (IOH):A 端口 :-12mA;B 端口 :-24mA 最大低电平输出电流 (IOL):A 端口 :12mA;B 端口 :24mA 工作温度范围 :-55 ~125 ESD 等级 :2000V 封装形式 :FP 产品用途及应用范围 B54LVT 是三态输出 16 位总线收发器, 可以作为两个独立 8-bit 或一个 16-bit 总线收发器使用 该器件方向控制端 (DIR) 控制数据传输方向, 输出控制端 (OE ) 控制总线的输出状态 器件输入为 TTL 电平, 器件支持 Ioff 和上电三态 该产品为通用逻辑电路, 应用广泛 1.3 免责声明 本手册版权归北京微电子技术研究所所有, 并保留一切权利 未经书面许可, 任何单位 组织和个人不得将此文档中的任何部分公开 转载或以其他方式散发给第三方, 否则将追究其法律责任 本手册版本将不定期更新, 请在使用本产品之前联系本单位销售部门获取本手册的最新版本 用户因未严格按本手册要求保存 使用本产品, 致使产品工作异常或损坏, 造成任何直接或间接损失, 本单位不承担任何责任 除本手册说明之外, 请勿接受第三方指导或参考第三方资料对本产品进行操作, 用户对本手册有疑问之处请与本单位销售部门联系 1

5 二 产品工作条件 2.1 绝对最大额定值 a) 电源电压范围 (VDD): -0.5V ~ +4.6V b) 直流输入电压范围 (VIN): -0.5 V ~ V c) 输出电压范围 (VO)( 施加至高电平状态或断电状态下的输出管脚 ):-0.5 V ~+ 7.0 V d) 直流输出电流 (IOL) ( 每输出管脚 ):B 端口 :48mA;A 端口 :30mA e) 直流输出电流 (IOH) ( 每输出管脚 ):B 端口 :48mA;A 端口 :30mA f) 直流输入钳位电流 (IIK) (VIN<0V):-50mA g) 直流输出钳位电流 (IOK) (VOUT<0V):-50mA h) 最大耗散功耗 (TA=55 ):1.0W i) 贮存温度 (Tstg):-65 ~ j) 引线耐焊接温度 (Th ):260 k) 结温 (TJ) : 推荐工作条件 a) 电源电压范围 (VDD): 2.7 V ~3.6 V b) 最小输入高电平电压 (VIH):2.0V c) 最大输入低电平电压 (VIL):0.8V d) 最大输入电压 (VIN):5.5V e) 最大高电平输出电流 (IOH):A 端口 :-12mA;B 端口 :-24mA f) 最大低电平输出电流 (IOL):A 端口 :12mA;B 端口 :24mA g) 电源上升速度 ( t/ VDD): 200μs/V h) 输入上升 下降速度 ( t/ V) ( 输出使能开启 ): 10 ns/v i) 工作温度范围 (TA): -55 ~ 热特性参数 a) 热阻 (θjc ):22 /W 2

6 三 封装及引出端说明 3.1 引出端排列 B54LVT 引脚排列顺序如图 3-1 所示 图 3-1 B54LVT 引脚排列图 B54LVT 引脚功能描述见表 3-1 表 3-1 B54LVT 引脚功能描述引出信号名功能描述类型引出端信号名称功能描述类型端称 1 1DIR 逻辑控制端 I 25 2 OE 逻辑控制端 I 2 1B1 数据输入 / 输出端 I/O 26 2A8 数据输入 / 输出端 I/O 3 1B2 数据输入 / 输出端 I/O 27 2A7 数据输入 / 输出端 I/O 4 GND 地 G 28 GND 地 G 5 1B3 数据输入 / 输出端 I/O 29 2A6 数据输入 / 输出端 I/O 6 1B4 数据输入 / 输出端 I/O 30 2A5 数据输入 / 输出端 I/O 7 VDD 电源 P 31 VDD 电源 P 8 1B5 数据输入 / 输出端 I/O 32 2A4 数据输入 / 输出端 I/O 9 1B6 数据输入 / 输出端 I/O 33 2A3 数据输入 / 输出端 I/O 10 GND 地 G 34 GND 地 G 11 1B7 数据输入 / 输出端 I/O 35 2A2 数据输入 / 输出端 I/O 12 1B8 数据输入 / 输出端 I/O 36 2A1 数据输入 / 输出端 I/O 13 2B1 数据输入 / 输出端 I/O 37 1A8 数据输入 / 输出端 I/O 14 2B2 数据输入 / 输出端 I/O 38 1A7 数据输入 / 输出端 I/O 15 GND 地 G 39 GND 地 G 3

7 16 2B3 数据输入 / 输出端 I/O 40 1A6 数据输入 / 输出端 I/O 17 2B4 数据输入 / 输出端 I/O 41 1A5 数据输入 / 输出端 I/O 18 VDD 电源 P 42 VDD 电源 P 19 2B5 数据输入 / 输出端 I/O 43 1A4 数据输入 / 输出端 I/O 20 2B6 数据输入 / 输出端 I/O 44 1A3 数据输入 / 输出端 I/O 21 GND 地 G 45 GND 地 G 22 2B7 数据输入 / 输出端 I/O 46 1A2 数据输入 / 输出端 I/O 23 2B8 数据输入 / 输出端 I/O 47 1A1 数据输入 / 输出端 I/O 24 2DIR 逻辑控制端 I 48 1 OE 逻辑控制端 I 3.2 外形尺寸说明 B54LVT 型三态输出 16 位总线收发器电路采用 FP48 封装形式, 外形尺寸按 GB/T 的规定, 外壳外形代号分别为 F48X2, 外形尺寸如图 3-2 单位 : 毫米 尺寸符号 数值 最小公称最大 4

8 A b c e D E HE Q Z 1.27 注 : 表中未标注公差的按 GB/T 1804 表 1 中 C 的要求执行 图 3-2 外形尺寸 FP48 四 产品功能 B54LVT 为 16 位总线收发器, 可以作为两个独立 8-bit 或一个 16-bit 总线收发 器使用 方向控制端 (DIR) 控制数据传输方向, 若 DIR 为高电平, 数据由 A 向 B 发送 ; 若 DIR 为低电平, 数据由 B 向 A 发送 输出控制端 (OE ) 控制总线的输出,OE 为低电平, 数据正常传输 ; 若 OE 为高电平,A 和 B 为高阻态 器件特点如下 : 器件的电源电压为 3.3V, 支持 2.7V 电源电压下工作 ; 器件支持热插拔 (Ioff 和上电三态 ) 当电源电压置为零时, 关断接口到电源的通路, 以免接口信号的电流倒灌到电源引脚, 损坏器件 ; 在器件上电或下电过程中, 将输出端口置成高阻态, 防止产生总线冲突 真值表见表 4-1 结构图见图 4-1 表 4-1 真值表 5

9 图 4-1 B54LVT 结构图 五 产品电特性 参数负输入钳位电压输出高电平电压 符号 VIK VOH 表 5-1 电特性 条件, 除另有规定外 -55 TA V VDD +3.6V VDD=2.7V, IIN=-18mA A port B port VDD=2.7V 和 3.6V IOH=-100uA VIN =VIH 或 VIL 极限值 单 最小 最大 位 -1.2 V 分组 A1 A2 A3 VDD-0.2 V A1 A2 A3 VDD=3.0V IOH=-12mA VIN =VIH 或 VIL 2.0 V A1 A2 A3 VDD=2.7V 和 3.6V IOH=-100uA VIN =VIH 或 VDD-0.2 V A1 A2 A3 VIL VDD=2.7V IOH=-8mA VIN =VIH 或 VIL 2.4 V A1 A2 A3 VDD=3.0V IOH=-24mA VIN =VIH 或 VIL 2.0 V A1 A2 A3 输出低电平电 VOL A VDD=2.7V 和 3.6V IOL=100uA VIN =VIH 或 VIL 0.2 V A1 A2 A3 6

10 参数 符号 条件, 除另有规定外 -55 TA V VDD +3.6V 极限值最小最大 单位 分组 压 port VDD=3.0V IOL=12mA VIN =VIH 或 VIL 0.8 V A1 A2 A3 VDD=2.7V IOL=100uA VIN =VIH 或 VIL 0.2 V A1 A2 A3 B port VDD=2.7V IOL=24mA VIN =VIH 或 VIL 0.5 V A1 A2 A3 VDD=3.0V IOL=16mA VIN =VIH 或 VIL 0.4 V A1 A2 A3 VDD=3.0V IOL=24mA VIN =VIH 或 VIL 0.55 V A1 A2 A3 输入电流 IIN 控制 VDD=3.6V VIN = VDD 或 GND ±1.0 μa A1 A2 A3 端口 VDD=0V 和 3.6V VIN = 5.5V 10.0 μa A1 A2 A3 VDD=3.6V VIN = 5.5V 20.0 μa A1 A2 A3 A 或 B VDD=3.6V VIN = VDD 5.0 μa A1 A2 A3 端口 VDD=3.6V VIN = 0V μa A1 A2 A3 断电漏电流 IOFF VDD=0V VIN 或 VOUT =0~4.5V 测试所有输出端 ±100 μa A1 A2 A3 三态输出电流 IOZPU VDD=0~1.5V VOUT=0.5~3.0V ±100 μa A1 A2 A3 a ( 上电过程 ) OE =Don t care 三态输出电流 a ( 下电过程 ) IOZPD VDD=1.5~0V VOUT=0.5~3.0V OE =Don t care ±100 μa A1 A2 A3 静态电源电流 ICC 输出为高 VDD=3.6V VIN = VDD 或 GND 0.25 ma A1 A2 A3 输出为低 IOUT=0A 5.0 ma A1 A2 A3 输出为高阻 0.25 ma A1 A2 A3 静态电源电流增量 (TTL 输入 ) Low level ground bounce noise a High level VCC bounce noise a b 输入电容 I/O 电容 功能测试 b c ICC VDD=3.0V 和 3.6V 0.3 ma A1 A2 A3 一个输入为 VDD-0.6V, 其余输入为 VDD 或 GND VOLP VDD=3.0V 650 mv A4 VOLV VIH=2.7V VIL=0V TA= mv A4 VOHP 750 mv A4 VOHV mv A4 CIN VDD=3.0V VIN = 2.0V TA= pf A4 CI/O VDD=3.0V VIN = 2.0V TA= pf A4 VDD=2.7V,VIN =0.4V 或 2.4V; 验证输出 VOUT L H A7, A8A A8B VDD=3.6V,VIN =0.4V 或 2.4V; 验证输出 VOUT L H A7, A8A A8B 7

11 参数 传输延迟 (An 到 Bn) 符号 条件, 除另有规定外 -55 TA V VDD +3.6V 极限值最小最大 tplh1 VDD=2.7V ns A9 A10 A11 VDD=3.0V ns A9 A10 A11 tphl1 VDD=2.7V ns A9 A10 A11 单位 分组 VDD=3.0V ns A9 A10 A11 tplh2 VDD=2.7V ns A9 A10 A11 传输延迟 (Bn 到 An) VDD=3.0V ns A9 A10 A11 tphl2 VDD=2.7V ns A9 A10 A11 VDD=3.0V ns A9 A10 A11 tpzh1 VDD=2.7V ns A9 A10 A11 高阻态到高 / 低电平的传输时 VDD=3.0V ns A9 A10 A11 间 tpzl1 ( OE 到 Bn) VDD=2.7V ns A9 A10 A11 VDD=3.0V ns A9 A10 A11 tpzh2 VDD=2.7V ns A9 A10 A11 高阻态到高 / 低电平的传输时 VDD=3.0V ns A9 A10 A11 间 tpzl2 ( OE 到 An) VDD=2.7V ns A9 A10 A11 VDD=3.0V ns A9 A10 A11 tphz1 VDD=2.7V ns A9 A10 A11 高 / 低电平到高阻态的传输时 VDD=3.0V ns A9 A10 A11 间 tplz1 ( OE 到 Bn) VDD=2.7V ns A9 A10 A11 VDD=3.0V ns A9 A10 A11 tphz2 VDD=2.7V ns A9 A10 A11 高 / 低电平到高阻态的传输时 VDD=3.0V ns A9 A10 A11 间 tplz2 ( OE 到 An) VDD=2.7V ns A9 A10 A11 VDD=3.0V ns A9 A10 A11 注 :VIL=0.8V, VIH=2.0V a 设计保证 b 初始鉴定或影响该参数的设计工艺更改时进行 c 对 VOUT 测试,L 0.8V,H 2.0V 8

12 图 5-1 交流参数波形图 六 应用注意事项 6.1 输入信号要求 B54LVT 器件应用时, 要求输入信号的上升沿 / 下降沿为 0~10ns/V 若输入信号 超出了正常应用范围 ( 即上升沿 / 下降沿大于 10ns/V), 建议增加带施密特触发器输入的电 路进行信号整形 ( 如 B54LVC14) 6.2 未使用输入端的处理 B54LVT 电路的输入端不允许悬空, 因为悬空会使电位不定, 破坏正常的逻辑关 系 另外, 悬空时输入阻抗高, 易受外界噪声干扰, 使电路产生误动作, 而且也极易造成 栅极感应静电而击穿, 因此器件的未使用输入端接 1kΩ~10kΩ 的电阻并连接到低电平 6.3 对电源的要求 必须注意 B54LVT 器件的上电次序, 通常原则是 : 首先加电源, 再加信号 电源上电速度不能过快,, 否则可能造成上电三态功能异常, 要求 t/ VDD 200μs/V 电路工作电压范围 2.7 V~3.6V, 电源电压绝对最大额定值为 -0.5 V~+4.6V, 电路要工作在使用条件范围内, 以免过电应力造成电路损坏 9

13 6.4 冷备份应用要求 为了避免在电源 上电 或 断电 操作过程中电路产生寄生漏电流, 在电源 上电 或 断电 操作过程中, 电路的输入信号应处于低电位或关断状态, 否则会使器件电源电压 钳位, 无法断电 6.5 输出振铃抑制 由于国内 54LV 器件与国外对应器件采用不同的工艺, 导致国内 54LV 器件的输出上升沿 / 下降沿比国外器件陡, 在同样的布线情况下, 器件的输出振铃会比国外器件大 用户在使用国内 54LV 器件替代进口器件时, 需要对原有系统板重新审核 建议板级设计时遵循以下准则 : (1) 若传输线延时小于 54LV 器件输出波形上升 / 下降时间的 20%, 传输线不需要采取措施 ; (2) 若传输线延时为 54LV 器件输出波形上升 / 下降时间的 20%~40%, 传输线需要源端接电阻 建议电阻阻值为 20~50Ω (3) 若传输线延时大于 54LV 器件输出波形上升 / 下降时间的 40%, 需要进行信号完整性分析, 进行阻抗匹配设计, 调试时观测波形 注 : 国内外 54LV 器件的输出波形上升 / 下降时间参考下表 表 LV 系列器件输出波形上升 / 下降时间 负载情况 输出波形上升 / 下降时间 国内器件 国外器件 输出端连接 54LV 电路 1.0ns 1.6ns 6.6 去耦电容的选择 去耦电容连接在芯片电源和地之间, 用于滤去电源和地上的噪声 国内 54LV 器件与 TI 公司器件相比, 输出波形上升 / 下降时间较快 带宽频率较高, 易超出谐振频率 当超过了谐振频率, 电容的容性特性减弱, 表现为感性特性, 其去耦的作用也便失效 根据公式 (1) 确定去耦电容应满足的频率范围 10

14 f 1 = 2π LC (1) 其中 :f 为信号的带宽频率, 由输出波形的上升 / 下降时间 (tr) 决定, 可通过经验公式 f=1/(π*tr) 得出 ; 电感 L 为电容与电源地间的引线电感 不同的 PCB 布局环境下去耦电容的作用有所差异, 用户在选用去耦电容时应观测电源电压波形 建议电压跌落控制在 300mV 以内, 使用国内 54LV 器件时推荐去耦电容的选择范围为 1~10nF 在布设去耦电容时, 为减小寄生电感, 应使电容尽可能靠近芯片电源和地 且电容两脚与电源和地形成的环路面积尽可能小 6.7 产品防护 电装及防护措施 器件应采取防静电措施进行操作 推荐下列操作措施 : a) 器件应在防静电的工作台上操作 ; b) 试验设备和器具应接地 ; c) 不能直接用手触摸器件引线, 应佩戴防静电指套和腕带 ; d) 器件应存放在防静电材料制成的容器中 ; e) 生产 测试 使用及流转过程工作区域内应避免使用能引起静电的塑料 橡胶或丝织物 ; f) 相对湿度应尽可能保持在 20%~70% 包装器件包装应至少满足以下要求 : a) 由无腐蚀的材料制成 ; b) 具有足够的强度, 能够经得起搬运过程中的震动和冲击 ; c) 用抗静电材料涂敷过或浸渍过, 具备足够的抗静电能力 ; d) 能够牢固的把所装器件支撑在一定的位置 ; e) 能保持器件引线不发生变形 ; f) 没有锋利的棱角 ; 11

15 g) 能安全容易的移动 检查和替换器件 ; h) 一般不使用聚氯乙稀 氯丁橡胶 乙烯树脂和聚硫化物等材料, 也不允许使用有硫 盐 酸 碱等腐蚀成分的材料, 使用具有低放气指数 低尘粒脱落的材料制造为宜 运输和贮存器件在运输和贮存过程中, 至少应满足以下要求 : a) 运输 : 在避免雨 雪直接影响的条件下, 装有产品的包装箱可以用任何运输工具运输 但不能和带有酸性 碱性和其它腐蚀性物体堆放在一起 b) 贮存 : 包装好的产品应贮存在环境温度为 15 ~25, 相对湿度不大于 25%~65%, 周围没有酸 碱或其它腐蚀性气体且通风良好的库房里 七 用户关注产品信息 7.1 产品鉴定信息 鉴定产品批次 1429 总规范名称及编号 半导体集成电路总规范 (GJB 597A-1996) 鉴定执行标准 详细规范名称及编号 半导体集成电路 B54LVT 型 16 位总线收发器详细规范 (Q/Zt 20316A-2014) 附加技术条件 质量等级 B 级 鉴定试验日期 鉴定情况 鉴定试验机构 中国航天科技集团公司第九研究院第七七二研究所 鉴定报告编号 ZY308-BG03A/ 产品标识 B54LVT 产品标识如图 7-1 所示 标志图中 B54LVT 为电路型号, 其中 B 为公司标志,54LVT 为电路代号, XXXX 为器件批次 ; 为静电等级标识 ; 001 为电路序号 12

16 图 7-1 B54LVT 产品标识图 7.3 研制生产单位联系方式 通信地址 : 北京市丰台区东高地四营门北路 2 号邮政编码 : 联系部门 : 市场二部电话 / 传真 : / 抗加中心刘玉清电话 :

17 附录 1 对应替代国外产品情况 替代国外型号 : 54LVTH 国外生产商 :TI 对比项 国内产品 国外产品 差异性 兼容性分析 电源电压 (VDD) 2.7V-3.6V 2.7V-3.6V 一致 输入高电平电压 2.0V 2.0V 一致 输入低电平电压 0.8V 0.8V 一致 输出高电平电压 (B 端口 :VDD=3.0V 2.0V 2.0V 一致 IOH=-24mA) 输出低电平电压 (B 端口 :VDD=3.0V 0.55V 0.55V 一致 IOH=24mA) 最大输出驱动能力 24mA 24mA 一致 静态电源电流 5mA 5mA 一致 输入总线保持 不具备 具备 不一致 抗静电能力 ( 人体模型 ) 2000V 2000V 一致 14

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2 1A BL1117 1.8V 2.5V 2.85V 1A 3.3V 5V 1.2V BL1117 1A Vout 1.8V,2.5V,2.85V,3.3V,5V ±1 15V 1.25V~13.8V 0.2 BL1117 0.4 BL1117-50 140 TA -50 ~140 1% BL1117 SOT-223,TO- 252,TO-220 LCD LCD TV DVD ADSL BL1117-XX

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

ICN2028

ICN2028 ICN2028 (16 路双缓存恒流输出 LED 驱动芯片 ) 概述 ICN2028 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 ICN2028 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 ICN2028 在显示过程中 (OE=0)

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

产品名称 封 装 打印名称 材料 包装 SA1117H ADJTR SA1117H ADJ 无铅 编带 SA1117H 1.2TR SA1117H 1.2 无铅 编带 SA1117H 1.5TR SA1117H 1.5 无铅 编带 SA1117H 1.8TR SOT 223 3L SA1117H 1

产品名称 封 装 打印名称 材料 包装 SA1117H ADJTR SA1117H ADJ 无铅 编带 SA1117H 1.2TR SA1117H 1.2 无铅 编带 SA1117H 1.5TR SA1117H 1.5 无铅 编带 SA1117H 1.8TR SOT 223 3L SA1117H 1 1A LDO 稳压器电路 概述 SA1117 是一款正电压输出的低压降三端线性稳压电路, 在 1A 输出电流下的压降为 1.2V SA1117 分为两个版本, 固定电压输出版本和可调电压输出版本 固定输出电压 1.5V 1.8V 2.5V 3.3V 5.0V 和可调版本的电压精度为 1%; 固定电压为 1.2V 的产品输出电压精度为 2% SA1117 内部集成过热保护和限流电路, 适用于各类电子产品

More information

Microsoft Word - HYM _CN.doc

Microsoft Word - HYM _CN.doc 低功耗, 限摆率 RS-485/RS-422 收发器 HYM481/483/485/487-491/1487 一 概述和特性 HYM481 HYM483 HYM485 HYM487-HYM491 以及 HYM1487 是用于 RS-485/RS-422 通信的低功耗收发器, 每个器件中都具有一个驱动器和一个接收器 HYM483 HYM487 HYM488 以及 HYM489 具有限摆率驱动器, 可以减小

More information

技术文档模板

技术文档模板 V6602 +3.3V/+5.0V 具有 ESD 保护 低摆率 RS-485 收发器 V6602 是一款半双工 低功耗 低摆率, 完全符合 EI/TI-485 标准要求的 RS-485 收发器 V6602 包括一个驱动器和一个接收器, 两者均可独立使能 当两者均禁用时, 系统输出高阻态 ( 三态 ) V6602 的高驱动能力, 允许 256 个发送器并接在同一通信总线上 低摆率 (low slew

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

入 学 考 试 重 点 考 查 学 生 的 基 础 专 业 知 识 基 本 实 验 操 作 技 能 独 立 思 考 和 动 手 能 力 笔 试 和 面 试 的 试 题 都 有 足 够 的 难 度, 以 利 择 优 录 取 新 录 取 的 研 究 生 第 一 次 见 面, 池 先 生 会 作 一 次

入 学 考 试 重 点 考 查 学 生 的 基 础 专 业 知 识 基 本 实 验 操 作 技 能 独 立 思 考 和 动 手 能 力 笔 试 和 面 试 的 试 题 都 有 足 够 的 难 度, 以 利 择 优 录 取 新 录 取 的 研 究 生 第 一 次 见 面, 池 先 生 会 作 一 次 严 师 慈 母 池 际 尚 院 士 培 养 研 究 生 记 实 叶 德 隆 叶 德 隆, 男,1936 年 12 月 初 生 中 国 地 质 大 学 ( 武 汉 ) 地 球 科 学 学 院 教 授 1960 年 北 京 地 质 学 院 岩 石 矿 物 学 专 业 毕 业 并 留 校 任 教,1962 年 北 京 地 质 学 院 研 究 生 毕 业 主 要 从 事 岩 浆 岩 岩 石 学 晶 体 光

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

SM74HC164

SM74HC164 SM0ED 单通道 恒流驱动控制芯片 QZOOIAV1.0 SM0ED 特点 本司专利的恒流控制技术 a) OUT 端口输出电流外置可调, 范围 ma~0ma b) 芯片间输出电流偏差 < ± % 具有过热保护功能 单颗芯片可做 1W 系统方案 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 :ESOP 概述 SM0ED 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

RN5T566A

RN5T566A RN5T566A 产 品 规 格 书 版 本 1.3 2012.09.28 RICOH COMPANY, LTD. Electronic Devices Company 此 规 格 书 如 有 更 改, 不 另 行 通 知 2011-2012 版 本 1.3 第 1 页 目 录 1. 概 述... 3 2. 特 性... 3 3. 管 脚 配 置... 4 4. 结 构 框 图... 5 5. 管

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

DATASHEET SEARCH SITE |

DATASHEET SEARCH SITE | 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 1. 概 述 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU 实 时 通 信, 主 要 用 于 一 切 需 要 提 供 时 基 的 系 统 中 该 芯 片 能 够 产 生 多 种 周 期 性 中 断 脉 冲 ( 最 长 周 期 可 长 达 1 个 月 ), 还

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

MPW 项目简介

MPW 项目简介 特性描述 是三通道 LED( 发光二极管 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 本产品性能优良, 质量可靠 功能特点 采用高压功率 CMOS 工艺 输出端口耐压 24V 非 5V 供电时 须串接电阻并对 接 5V 稳压管, 工作电压支持 6V~24V 辉度调节电路,256

More information

SM74HC164

SM74HC164 SM08D 单通道 恒流驱动控制芯片 QZOOISV. SM08D 特点 本司专利的恒流控制技术 a) 端口输出电流外置可调, 范围 5mA~60mA b) 芯片间输出电流偏差 < ± 4% 具有过热保护功能 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 : TO5- SOT SOT89- 概述 SM08D 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

MD71XX 系列高耐压低压差型

MD71XX 系列高耐压低压差型 高速低压差 (LDO) CMOS 电压稳压电路 ( 带控制端 ) MD73RXX 系列 300mA 特性 : 输出电压精度高 精度 ±2% 输出电流 300mA MD73RXX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流的正电压型电压稳压 电路 由于内置有低通态电阻晶体管, 因而输入输出 压差低 同时具有高输入电压承受能力, 最高工作电 压可达 1V, 适合需要较高耐压的应用电路

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

<4D F736F F D20B5FEB2E3C6ACCABDCDA8D3C3B4C5D6E94D474742CFB5C1D02E646F63>

<4D F736F F D20B5FEB2E3C6ACCABDCDA8D3C3B4C5D6E94D474742CFB5C1D02E646F63> FEATURES 特点 Multilayer monolithic construction yields high reliability 独石结构 高可靠性 Excellent solderability and heat resistance for either flow or reflow soldering 良好的可焊性和耐焊性 Substantial EMI suppression over

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

芯片功能说明 : 实物图 : AD118 是为低电压下工作的系统而设计的单 通道玩具直流电机驱动集成电路 它具有 H 桥 驱动器采用低输出电阻的 PMOS 和 NMOS 功 率晶体管 低导通电阻能保证电路在持续大电 流工作时消耗较低的功耗, 确保电路长时间稳 定工作 AD118 内置温度保护功能,

芯片功能说明 : 实物图 : AD118 是为低电压下工作的系统而设计的单 通道玩具直流电机驱动集成电路 它具有 H 桥 驱动器采用低输出电阻的 PMOS 和 NMOS 功 率晶体管 低导通电阻能保证电路在持续大电 流工作时消耗较低的功耗, 确保电路长时间稳 定工作 AD118 内置温度保护功能, AD118 简介 数据手册版本 V1.0 修订时间 2015 年 4 月 芯片功能说明 : 实物图 : AD118 是为低电压下工作的系统而设计的单 通道玩具直流电机驱动集成电路 它具有 H 桥 驱动器采用低输出电阻的 PMOS 和 NMOS 功 率晶体管 低导通电阻能保证电路在持续大电 流工作时消耗较低的功耗, 确保电路长时间稳 定工作 AD118 内置温度保护功能, 当低内阻的负载电 机堵转时,AD118

More information

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b SAM 系列射频同轴连接器 航天电器 特点简介 SMA 射频同轴连接器具有体积小 频带宽 机械电气性能优越 可靠性高等优点, 是应用最广泛的射频电连接器 广泛用于微波通讯 航天航海 武器系统及微波测量设备等领域 技术特性 温度范围 -65 ~ +165 绝缘电阻 5000MΩ 特性阻抗 50Ω 介质耐压 1000V 频率范围 配软电缆 0 ~ 12.4GHz 中心导体 0.003Ω 接触电阻配半刚

More information

MPW 项目简介

MPW 项目简介 12 通道 LED 驱动控制专用电路 特性描述 是 12 通道 LED( 发光二极管 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良 质量可靠 功能特点 采用高压功率 CMOS 工艺 输出端口耐压 24V 内部集成 5V 稳压管 芯片 外接串联电阻,

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

投影片 1

投影片 1 中 國 文 學 學 與 教 系 列 照 顧 學 生 的 多 樣 性 教 學 經 驗 分 享 會 與 教 系 列 照 顧 學 生 的 多 樣 性 地 利 亞 修 女 紀 念 學 校 ( 協 和 ) 李 浩 芝 老 師 教 學 經 驗 分 享 會 1 學 校 背 景 直 資 學 校 只 收 新 移 民 全 年 收 生 2 中 三 推 介 困 難 : 欠 缺 興 趣, 認 為 與 日 常 生 活 無 關

More information

Microsoft Word - SP-DM13A-A.003.doc

Microsoft Word - SP-DM13A-A.003.doc Version : A.003 Issue Date : 2007/12/12 File Name Total Pages : 20 : SP--A.003.doc 16-bit Constant Current LED Driver 新竹市科學園區展業一路 9 號 7 樓之 1 9-7F-1, Prosperity Road I, Science Based Industrial Park, Hsin-Chu,

More information

方框图 OTP VIN OUT OCP 3Ω Vref CE En En Soft Start GND 引脚图 TO92-3 SOT89-3 SOT xx-7 OUT 5 NC 4 75xx-7 5xx GND VIN OUT GND VIN OUT 1 2 3

方框图 OTP VIN OUT OCP 3Ω Vref CE En En Soft Start GND 引脚图 TO92-3 SOT89-3 SOT xx-7 OUT 5 NC 4 75xx-7 5xx GND VIN OUT GND VIN OUT 1 2 3 3V, 1mA 带保护功能的 TinyPower TM LDO 特性 低功耗 低压降 较低的温度系数 高输入电压 ( 高达 3V) 输出电压精度 :±2% 过流保护 过温保护 芯片使能 / 除能功能 封装类型 :TO92-3/SOT89-3/SOT23-5 概述 HT75xx-7 系列是一组 CMOS 技术实现的低功耗高电压稳压器 CMOS 技术可确保其具有低压降和低静态电流的特性 输入电压可高达

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

1971 7 6 1993 3 8 1993 2 17 1992 2 5 1991 2 3 1 2 1972 2 217 1972 1 270 1987 113 1988 296 1989 132 1990 12 30 1990 8 2 1992 2 7 1986 3 1 1960 28 1960 31 1945 1950 1987 17 1972 1 1 1972 1 39 1972 2 301

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

untitled

untitled ...2...3...4...6...6...8...11...14...18...19...20...22...22...23...25...26...27...28...29...29...29 4-1 - ( ) 88 37 88 37 200121 2003 4 18 [2003]48 1.5 4-2 - ( ) 25 1 1 100032 2004 09 17 [1998]12 ( ) (

More information

目 次 前言...III 1 范围 规范性引用文件 术语和定义 总则 测试环境要求 测试注意事项 静态参数测试 单端数字接口参数测试 输入高电平阈值电压 V TH 输入低电

目 次 前言...III 1 范围 规范性引用文件 术语和定义 总则 测试环境要求 测试注意事项 静态参数测试 单端数字接口参数测试 输入高电平阈值电压 V TH 输入低电 ICS 31.200 L 56 中华人民共和国国家标准 半导体集成电路低电压差分信号电路测试方法 Semiconductor intergrated circuits Measuring method of low voltage differential signaling circuitry ( 报批稿 ) GB/T XXXXX XXXX 2016 - XX - XX 发布 2016 - XX

More information

没有幻灯片标题

没有幻灯片标题 第三章 门电路 3.1 概述 3.2 分立元件门电路 3.3 TTL 与非门 3.4 其它类型的 TTL 门电路 3.5 MOS 门电路 3.1 概述 门 : 电子开关 开门状态 : 满足一定条件时, 电路允 许信号通过 开关接通 关门状态 : 条件不满足时, 信号通不过 开关断开 正向导通 : 开关接通 二极管 开关断开 开关 反向截止 : C 作用 饱和区 : 开关接通 三极管 (C,E) E

More information

Microsoft Word - PL10DC.doc

Microsoft Word - PL10DC.doc 蠕动泵 Peristaltic Pumps for Liquid PL10DC 系列 (Series PL10DC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 结构紧凑

More information

Microsoft Word - 澎湖田調報告_璉謙組.doc

Microsoft Word - 澎湖田調報告_璉謙組.doc 越 籍 新 住 民 妊 娠 醫 療 照 護 : 訪 談 李 亞 梅 女 士 組 長 : 郭 璉 謙 成 大 中 文 所 博 二 組 員 : 阮 壽 德 成 大 中 文 所 博 一 黃 榆 惠 成 大 中 文 所 碩 一 許 愷 容 成 大 中 文 所 碩 一 何 珍 儀 成 大 中 文 所 碩 一 指 導 老 師 : 陳 益 源 教 授 前 言 2009 年 03 月 21 日, 下 午 2 時 30

More information

北京市隆安律师事务所

北京市隆安律师事务所 北 京 市 隆 安 律 师 事 务 所 关 于 山 东 创 通 信 息 技 术 股 份 有 限 公 司 申 请 股 票 在 全 国 中 小 企 业 股 份 转 让 系 统 挂 牌 并 公 开 转 让 的 补 充 法 律 意 见 书 ( 一 ) 二 〇 一 四 年 十 一 月 地 址 : 北 京 市 朝 阳 区 建 国 门 外 大 街 21 号 北 京 国 际 俱 乐 部 188 室 电 话 :(8610)65325588

More information

Ceramic PTC Thermistor Catalogue

Ceramic PTC Thermistor Catalogue 特点 用途 1. 满足 RoHS 要求 2. 自我调节加热组件 3. 输出温度稳定 4. 电路简单 5. 适合夹持接触 6. 寿命长且稳定 7. 工作温度范围 :-40 ~ +200 C (V=0) 8. 安规认证 : UL /cul 1. 家电 2. 汽车 -25 ~ +60 C (V=V R ) UL&cUL 证书号 : E138827 3. 热保护器 4. 洗碗机门锁 产品编码 P H R A

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

<453A5C45C5CC5CB9E6B8F1CAE95CB9E6B8F1CAE95CB9E6B8F1CAE95CD2D1CDEAB3C9B9E6B8F1CAE95C464D A3A84C4544C7FDB6AFCFB5C1D0A3A92E646F63>

<453A5C45C5CC5CB9E6B8F1CAE95CB9E6B8F1CAE95CB9E6B8F1CAE95CD2D1CDEAB3C9B9E6B8F1CAE95C464D A3A84C4544C7FDB6AFCFB5C1D0A3A92E646F63> FM4116 文件编号 :S&CIC1505) 产品概述 FM4116 是一款连续电感电流导通模式的降压恒流源, 用于驱动一颗或多颗串联 LED FM4116 输入电压范围 6V 到 32V, 输出电流可调, 最大可达 1.2A 根据不同的输入电压和外部器件,FM4116 可以驱动高达数十瓦的 LED FM4116 内置功率开关, 采用高端电流采样设置 LED 电流 FM4116 自带具有迟滞功能的使能端口,

More information

DS v0-Z

DS v0-Z FUJITSU SEMICONDUCTOR DATA SHEET DS501-00024-4v0-Z 铁电存储器 4 M (256 K 16) 位 MB85R4M2T 产品描述 MB85R4M2T 是一种 FRAM ( 铁电随机存取内存 ) 芯片, 由使用铁电工艺和硅栅 CMOS 工艺技术制造的 262,144 16 位非易失性存储单元构成 MB85R4M2T 无需备用电池即可保持数据, 这正是 SRAM

More information

編號產品型號品牌規格 95782 CBB22-103J/630V- P10d0.6 CBB22-333J/630V- P10d0.6 CBB22-473J/630V- P10d0.6 CBB22-104J/630V- P15d0.8 CBB22-224J/630V- P15d0.8 CBB22-334J/630V- P15d0.8 CBB22-474J/630V- P20d0.8 CBB22-684J/630V-

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

申 请 挂 牌 公 司 声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和 主

申 请 挂 牌 公 司 声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和 主 主 办 券 商 二 零 一 六 年 一 月 申 请 挂 牌 公 司 声 明 本 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 本 公 司 负 责 人 和 主 管 会 计 工 作 的 负 责 人 会 计 机 构 负

More information

东吴证券有限责任公司关于

东吴证券有限责任公司关于 东 吴 证 券 股 份 有 限 公 司 关 于 推 荐 厦 门 易 瑾 文 化 传 播 股 份 有 限 公 司 股 票 进 入 全 国 中 小 企 业 股 份 转 让 系 统 公 开 转 让 的 根 据 全 国 中 小 企 业 股 份 转 让 系 统 有 限 责 任 公 司 ( 以 下 简 称 全 国 股 份 转 让 系 统 公 司 ) 下 发 的 全 国 中 小 企 股 份 转 让 系 统 业 务

More information

评估报告共四册

评估报告共四册 四 川 省 投 资 集 团 有 限 责 任 公 司 所 属 的 位 于 成 都 市 武 侯 区 一 环 路 南 一 段 老 马 路 15 号 一 层 房 地 产 租 赁 价 格 评 估 项 目 资 产 评 估 报 告 川 中 联 恒 通 评 资 字 (2016) 第 012 号 四 川 中 联 恒 通 资 产 评 估 有 限 公 司 二 〇 一 六 年 三 月 二 十 九 日 目 录 注 册 资 产

More information

2008 24 2004 28 2006 31 2008 3 2004 1 2004 232 2 2 1 2006 48 2 GB/T4754-2002 3 1 2 3 100 3 4 ++ 100 5 100 4 ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ) ) ) ( ) ( ( ) ( ( ) ( ) 5 ( ) ( ) ( ) ( ) ( )

More information

1 2 30% 7% 1 2 3 1 13 1680 1350 975 675 570 510 380 14 1680 1350 975 675 570 510 380 15 1680 1350 975 675 570 510 380 16 1680 1350 975 675 570 510 380 17 1680 1350 975 675 570 510 380 18 1680 1350 975

More information

山西省人工影响天气公共服务

山西省人工影响天气公共服务 山 西 省 人 工 影 响 天 气 公 共 服 务 标 准 体 系 编 制 说 明 山 西 省 人 工 降 雨 防 雹 办 公 室 2016 年 5 月 山 西 省 人 工 影 响 天 气 公 共 服 务 标 准 体 系 创 新 团 队 带 头 人 : 李 培 仁 成 员 : 郭 学 良 贺 青 亮 张 青 裴 真 孙 鸿 娉 李 军 霞 李 义 宇 蔡 立 华 任 晓 霞 任 刚 申 东 东 封

More information

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93835BAC52E646F63>

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93835BAC52E646F63> 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 文 件 桂 政 办 发 2016 85 号 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 转 发 公 安 厅 交 通 运 输 厅 关 于 推 进 机 动 车 驾 驶 人 培 训 考 试 制 度 改 革 的 实 施 意 见 的 通 知 各 市 县 人 民 政 府, 自 治 区 人 民 政 府 各 组 成 部 门 各 直 属 机 构 :

More information

附 件 1 创 新 工 程 师 名 单 序 号 姓 名 单 位 申 报 级 别 省 份 1 欧 加 祥 安 徽 皖 南 电 机 股 份 有 限 公 司 1 级 安 徽 2 李 绍 明 安 徽 星 瑞 齿 轮 传 动 有 限 公 司 1 级 安 徽 3 唐 文 翼 安 徽 捷 讯 光 电 技 术 有 限 公 司 1 级 安 徽 4 唐 小 晓 安 徽 捷 讯 光 电 技 术 有 限 公 司 1 级 安

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

56,,,,, :,, 1953,, 1953,1953,,1953,,,,,,,,, () ,30118, 34, ;,4912 %,5614 %, 1,1953, 1119, ,, , , 1111 (

56,,,,, :,, 1953,, 1953,1953,,1953,,,,,,,,, () ,30118, 34, ;,4912 %,5614 %, 1,1953, 1119, ,, , , 1111 ( 2003 1 1812 ( 200433) :,,,,,, :1812 19 :, ;,,20, 1887 ;,1822 1887,,,1812 ( ) 9 :, ;,,;,,,,9,,,,,, :,1991,232 301 ::, :,1988 92 56,,,,, :,, 1953,, 1953,1953,,1953,,,,,,,,, () 1953 1 9518,30118, 34, 13313

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

<4D F736F F D20B5DBD4B4D0C2B2C4B2FAC6B7CBB5C3F7CAE9A3A8554CB1EAD7BCA3A9>

<4D F736F F D20B5DBD4B4D0C2B2C4B2FAC6B7CBB5C3F7CAE9A3A8554CB1EAD7BCA3A9> P/N: 品名 DY-U-001:UL80 105 PVC 电线绝缘料 ( 通用型 ) 适用于 标准额定耐温等级 80 105 的 PVC 电线绝缘材 料 ( 绝缘厚度大于 0.76MM, 导体截面积小于 20AWG 规格的电子线请选 择 DY-U-008 专用型 ) 产品符合欧盟 ROHS 2.0 REACH 等环保要求 Volume resistivoty 体积电阻率 Ω.m 1.0 10 11

More information

f 0, : = jπfl Z C f 0, (ESR) A C = ε r ε 0 d (d) (A) 4 (ESR) (L) (Z C ) (Z C ) 4 (f 0 ) # (C) (L) :, f 0 = π LC f 0, 5 PCB (V IN ) (R L ) ESL, V IN R

f 0, : = jπfl Z C f 0, (ESR) A C = ε r ε 0 d (d) (A) 4 (ESR) (L) (Z C ) (Z C ) 4 (f 0 ) # (C) (L) :, f 0 = π LC f 0, 5 PCB (V IN ) (R L ) ESL, V IN R PCB (, 000) : PCB PCB PCB PCB PCB Basic PCB Layout Guidelines for On Board Power Supply Development Chen Zhou Semtech International AG, Shanghai Abstract: PCB layout of on board power supply is one of

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

Microsoft Word - AN-978 _part1_.doc

Microsoft Word - AN-978 _part1_.doc AN978 MOS ( ) MOS MGD MOS MGD MGD BUCK SD P MOSFET 1. MOSFET IGBT 1 1 1 10~15V 2 3 1 MOS MGDs MOSFET IGBT 2 IR2110 1 ( MOSFET ) 2 , MOSFET "",,., 3 2 HEX-2 25ns 17ns HEXFET (V CC =15V, 9) HEX-3 HEX-4 HEX-5

More information

43081.indb

43081.indb 163 56 52 55 45 56 64 62 45 61 195156200712 19751219901119974 1997420009 2000920026 20026 195552200712 19826 199261994819948 19991019991020009 2000920026 200262007122004 5 164 195255200712 2000919931220009

More information

前 言 为深入贯彻落实2012年中央1号文件精神 推进 农业科技促进年 活动 激励扎根农村基层 为农 业科教兴村作出杰出贡献的带头人 农业部于2012年 启动了百名农业科教兴村杰出带头人资助项目 该项 目是江苏华西集团公司捐赠500万元在中华农业科教基 金会设立 华西仁宝基金 开展的专项资助活动 资 助项目计划三年面向全国资助100名农业科教兴村杰出 带头人 每人资助5万元 按照项目申报和评审工作要求

More information

序 1995 年 我 走 进 了 朝 阳 区 将 台 乡 五 保 老 人 院, 如 今 17 年 后, 十 分 欣 喜 有 机 会 为 这 本 流 金 岁 月 小 集 作 序 在 多 年 陪 伴 孤 单 老 人 的 过 程 中, 我 深 深 地 体 会 到 每 位 老 人 的 生 命 里 其 实 都

序 1995 年 我 走 进 了 朝 阳 区 将 台 乡 五 保 老 人 院, 如 今 17 年 后, 十 分 欣 喜 有 机 会 为 这 本 流 金 岁 月 小 集 作 序 在 多 年 陪 伴 孤 单 老 人 的 过 程 中, 我 深 深 地 体 会 到 每 位 老 人 的 生 命 里 其 实 都 1 序 1995 年 我 走 进 了 朝 阳 区 将 台 乡 五 保 老 人 院, 如 今 17 年 后, 十 分 欣 喜 有 机 会 为 这 本 流 金 岁 月 小 集 作 序 在 多 年 陪 伴 孤 单 老 人 的 过 程 中, 我 深 深 地 体 会 到 每 位 老 人 的 生 命 里 其 实 都 积 累 了 许 多 独 特 的 光 彩 与 体 验 2001 年 我 在 中 国 青 少 年 发

More information

78 云 芝 79 五 加 皮 80 五 味 子 81 五 倍 子 82 化 橘 红 83 升 麻 84 天 山 雪 莲 85 天 仙 子 86 天 仙 藤 87 天 冬 88 天 花 粉 89 天 竺 黄 90 天 南 星 91 天 麻 92 天 然 冰 片 ( 右 旋 龙 脑 ) 93 天 葵

78 云 芝 79 五 加 皮 80 五 味 子 81 五 倍 子 82 化 橘 红 83 升 麻 84 天 山 雪 莲 85 天 仙 子 86 天 仙 藤 87 天 冬 88 天 花 粉 89 天 竺 黄 90 天 南 星 91 天 麻 92 天 然 冰 片 ( 右 旋 龙 脑 ) 93 天 葵 中 国 药 典 2015 年 版 目 录 一 部 药 材 和 饮 片 1 一 枝 黄 花 2 丁 公 藤 3 丁 香 4 九 里 香 5 九 香 虫 6 人 工 牛 黄 7 人 参 8 人 参 叶 9 儿 茶 10 八 角 茴 香 11 刀 豆 12 三 七 13 三 白 草 14 三 棱 15 三 颗 针 16 千 年 健 17 千 里 光 18 千 金 子 19 千 金 子 霜 20 土 木 香

More information

123 5060 50 5060 19 5060 19

123 5060 50 5060 19 5060 19 122 201011 1975 1974 1976 123 5060 50 5060 19 5060 19 124 50 60 1976 L 19 5060 19741976 50 125 19 126 80 80 127 1975 21 1974 1974 50. 128 / / 50 129 130 L AA 131 70 132 1974 70 133 1980 2010 80 134 T 1980726

More information

一 天 吃 两 顿, 从 不 例 外 我 上 班 就 是 找 一 个 网 吧 上 网 上 网 的 内 容 很 杂, 看 新 闻, 逛 论 坛, 或 者 打 打 小 游 戏 如 果 没 钱 上 网, 我 会 独 自 一 个 人 到 一 个 偏 僻 的 地 方, 静 静 地 坐 着 发 呆 这 也 是

一 天 吃 两 顿, 从 不 例 外 我 上 班 就 是 找 一 个 网 吧 上 网 上 网 的 内 容 很 杂, 看 新 闻, 逛 论 坛, 或 者 打 打 小 游 戏 如 果 没 钱 上 网, 我 会 独 自 一 个 人 到 一 个 偏 僻 的 地 方, 静 静 地 坐 着 发 呆 这 也 是 内 容 简 介 这 是 一 部 自 传 体 小 说, 也 是 一 本 向 年 轻 人 传 授 发 财 之 道 的 教 科 书 2005 年, 作 者 老 康 三 十 而 立, 带 着 老 婆, 拖 着 儿 子 ; 没 有 存 款, 没 有 房 子 ; 读 的 是 烂 学 校 破 专 业, 一 无 所 长 ; 毕 业 后 混 了 多 年, 稀 里 糊 涂, 不 幸 下 岗 ; 因 为 混 得 差, 朋

More information

工 造 价 15 邗 江 南 路 建 设 工 一 标 市 政 公 用 6000 中 机 环 建 集 团 有 限 公 胡 美 娟 16 邗 江 南 路 建 设 工 二 标 市 政 公 用 6337 17 品 尊 国 际 花 园 1# 2# 3# 4# 7# 9# 10# 11# 楼 地 库 C 区 工

工 造 价 15 邗 江 南 路 建 设 工 一 标 市 政 公 用 6000 中 机 环 建 集 团 有 限 公 胡 美 娟 16 邗 江 南 路 建 设 工 二 标 市 政 公 用 6337 17 品 尊 国 际 花 园 1# 2# 3# 4# 7# 9# 10# 11# 楼 地 库 C 区 工 2 工 造 价 1 新 纪 元 广 场 房 屋 建 筑 40689 11000 中 机 环 建 集 团 有 限 公 叶 正 君 2 3 大 上 海 御 龙 湾 二 期 A 区 A2~A4 商 业 楼 A 区 地 下 车 库 万 科 蜀 冈 地 块 商 品 房 项 目 二 期 工 ( 万 科 花 园 )1#-8# 住 宅 楼 A16-2 地 下 车 库 工 幼 儿 园 13# 15# 18# 20#

More information

第一篇 建置区划

第一篇 建置区划 第 八 篇 金 融 保 险 1986 年 至 2003 年, 全 县 金 融 体 制 不 断 改 革, 金 融 体 系 逐 步 形 成, 各 金 融 机 构 运 转 正 常, 存 贷 款 余 额 增 长, 逐 步 扭 亏 为 盈 全 县 4 大 保 险 公 司 开 展 多 种 保 险 业 务, 保 费 收 入 增 长, 理 赔 规 范 及 时 第 一 章 金 融 1986 年 至 2003 年, 蒙

More information

untitled

untitled 33 3 17 No. 35 1 2 3 18 179 104 4 5 6 19 No. 35 7 8 20 9 21 No. 35 10 22 23 No. 35 24 11 AA 25 No. 35 12 26 13 2013 27 No. 35 14 28 29 No. 35 15 30 16 17 31 No. 35 18 32 19 20 33 No. 35 21 34 22 2016 1

More information

Microsoft Word - 托管协议FINAL 20051223.doc

Microsoft Word - 托管协议FINAL 20051223.doc 交 银 施 罗 德 货 币 市 场 证 券 投 资 基 金 托 管 协 议 基 金 管 理 人 : 交 银 施 罗 德 基 金 管 理 有 限 公 司 基 金 托 管 人 : 中 国 农 业 银 行 二 〇 〇 五 年 十 二 月 十 三 日 目 录 一 托 管 协 议 当 事 人... 2 二 订 立 托 管 协 议 的 依 据 目 的 和 原 则... 3 三 基 金 托 管 人 和 基 金 管

More information