Getting started with sigma-delta digital interface on applicable STM32 microcontrollers - Application note

Size: px
Start display at page:

Download "Getting started with sigma-delta digital interface on applicable STM32 microcontrollers - Application note"

Transcription

1 AN4990 应用笔记适用于 STM32 微控制器的 数字接口入门 引言 DFSDM( 数字滤波器, 用于 调制器 ) 是一种创新的嵌入式外设, 可用于一系列 STM32 微控制器 ( 参见表 1: 适用产品 ), 对于处理外部模拟信号的应用尤为重要 虽然 DFSDM 是纯数字外设, 但它可以支持各种外部模拟前端 通过将模拟前端部件 ( 调制器 ) 保持在微控制器外部, 用户可以根据应用要求 ( 模拟量程 噪声 采样速度 ) 灵活选择模拟属性 来自 Σ- 调制器的原始转换数字数据由 DFSDM 外设 ( 数字滤波 ) 进行处理 DFSDM 配置足够灵活, 可支持各种转换数据属性 : 输出数据宽度 输出数据速率 输出频率范围 从应用的角度来看, 带有外部模拟前端的 DFSDM 就像一个 ADC 转换器 DFSDM 中还提供 ADC 的典型附加功能, 如模拟看门狗 极值检测器和偏移校正 参考 : [TUTORIAL] 在本文档中,[TUTORIAL] 指的是以 Microsoft Excel 工作簿形式提供的 DFSDM 模拟器, 可以使用带有关键字 DFSDM_tutorial 的主页搜索引擎, 可从 下载 表 1. 适用产品 类型适用范围系列 产品线 参考 微控制器 完整系列完整产品线 STM32F76xxx STM32F77xxx STM32L4 系列 STM32L4+ 系列 STM32H7 系列 STM32F412 产品线 STM32F413/423 产品线 STM32F765BG STM32F765BI STM32F765IG STM32F765II STM32F765NG STM32F765NI STM32F765VG STM32F765VI STM32F765ZG STM32F765ZI STM32F767BG STM32F767BI STM32F767IG STM32F767II STM32F767NG STM32F767NI STM32F767VG STM32F767VI STM32F767ZG STM32F767ZI STM32F768AI STM32F769AG STM32F769AI STM32F769BG STM32F769BI STM32F769IG STM32F769II STM32F769NG STM32F769NI STM32F769SL STM32F777BI STM32F777II STM32F777NI STM32F777VI STM32F777ZI STM32F778AI STM32F779AI STM32F779BI STM32F779II STM32F779NI 2018 年 11 月 AN4990 Rev 1 [English Rev 1] 1/56 1

2 目录 AN4990 目录 1 使用 DFSDM 的 A/D 转换原理概述 使用 DFSDM 的 A/D 转换基础概念 调制器 数字滤波器 调制原理 ( 外部模拟前端机能, 模拟 ) 调制原理 调制的优点 噪声整形 A/D 转换的线性 可扩展的 ADC 分辨率 调制的缺点 偏移和增益误差 低数据率 调制器的模拟 使用 [TUTORIAL] 进行模拟 数字滤波 - 原理和设计 功能描述 Sinc 滤波器功能示例 - 提高分辨率 Sinc 滤波器的硬件设计 DFSDM 外设操作 框图 DFSDM 组件 串行收发器 并行收发器 数字滤波器 积分器 输出数据单元 模拟看门狗 短路检测器 极值检测器 /56 AN4990 Rev 1 [English Rev 1]

3 AN4990 目录 4.3 DFSDM 模拟 调制器原理 DFSDM 滤波模拟 ( 滤波器和积分器 ) Sinc 滤波器的频率特性 调制的噪声整形 高阶滤波器操作 DAC 模拟 高通滤波器模拟 DFSDM 的其他功能 支持数字麦克风 (MEMS) 支持波束整形 音频时钟支持 - 独立时钟操作 DFSDM 功耗优化 睡眠模式下的功耗优化 DFSDM 外设配置教程 配置介绍 时钟配置 收发器 串行收发器配置 并行收发器 滤波器 Sinc 滤波器 积分器 模拟看门狗 短路检测器 脉冲跳跃 使用 [TUTORIAL] 进行配置 结论 版本历史 AN4990 Rev 1 [English Rev 1] 3/56 3

4 表格索引 AN4990 表格索引 表 1. 适用产品 表 2. DFSDM 应用示例 表 3. DFSDM 模拟看门狗参数 表 4. 文档版本历史 表 5. 中文文档版本历史 /56 AN4990 Rev 1

5 AN4990 图片索引 图片索引 图 1. 使用 DFSDM 的 A/D 转换框图 图 2. PWM 调制示例 图 3. 调制示例 图 4. 调制原理 图 5. 调制器电压时序图 图 6. PWM 和 Σ 调制的频谱 图 7. 调制器模拟 图 8. 每个滤波长度有一个 1 的三阶滤波器输出示例 图 9. 输入脉冲密度较高的三阶滤波器输出示例 图 10. 简单滑动平均法实现的基本原理图 图 11. 简化 Sinc 滤波器设计 - 步骤 图 12. 简化 Sinc 滤波器设计 - 步骤 图 13. 简化 Sinc 滤波器设计 - 步骤 图 14. 简化 Sinc 滤波器设计 - 步骤 图 15. 高阶 Sinc 滤波器的实现 图 16. DFSDM 外设框图 图 17. 调制器模拟 图 18. 滤波模拟 图 19. 滤波器频率特性 图 20. 和 PWM 调制信号 ( 用于频谱比较 ) 图 21. 信号和 PWM 信号的频谱 图 22. 信号和 PWM 信号的频谱, 信号幅度降至满量程的 10% 图 23. 高阶滤波器 - 多重平均原理 图 24. 一阶 DAC 原理 图 25. DAC 模拟 图 26. HP 滤波器模拟 图 27. MEMS 麦克风输出 (L 和 R 声道 ) 图 28. MEMS 麦克风连接到 DFSDM( 支持立体声 ) 图 29. 波束整形原理 图 30. 用于波束整形的脉冲跳跃实现 图 31. 脉冲跳跃示例 (FOSR=8) 图 32. Sinc 滤波器频率特性形状 图 33. [TUTORIAL] 中的 DFSDM 配置 AN4990 Rev 1 [English Rev 1] 5/56 5

6 使用 DFSDM 的 A/D 转换原理概述 AN 使用 DFSDM 的 A/D 转换原理概述 本文档支持基于 Arm (a) 的设备 1.1 使用 DFSDM 的 A/D 转换基础概念 使用 DFSDM 的模数转换基础框图请参见图 1 图 1. 使用 DFSDM 的 A/D 转换框图 Δ (Σ ) STM32 DATA CLK DFSDM 1 MSv43870V1 外部 调制器将模拟信号转换为数字 1 位流 (DATA 和 CLK 信号 ), 从而对外部模拟信号进行处理 1 位流是逻辑 1 和 0 的快速串行线流 :DATA 信号由 CLK( 时钟信号 ) 采样 在足够长的持续时间内计算的这些逻辑 1 和 0 的平均值表示模拟输入值 取平均值周期的持续时间决定了模拟输入信号捕获的精度 由 STM32 微控制器 DFSDM 外设 (DFSDM = 数字滤波器, 用于 调制器 ) 对 1 位流取平均值 DFSDM 获取并处理 1 位数据流 ( 数字滤波, 取平均 ) DFSDM 以低于输入 1 位流的数据速率 更高的分辨率输出数据样本 DFSDM 数字滤波器设置定义输出分辨率和数据速率 1.2 调制器 DFSDM 外设需要一个外部模拟前端, 用于执行模拟信号源的 A/D 转换 该外部模数转换在 调制器中执行 调制器包含 1 位 (b) A/D 转换器, 后者将输入模拟数据进行数字化处理, 转换为串行数字数据流 对模拟输入进行采样, 并将其转换为具有交替的 0 和 1 的 1 位数字数据流 在给定时间间隔期间计算的数字流的平均值, 表示在相同时间间隔期间输入模拟信号的平均值 调制原理可以表示为特殊的 PWM 调制, 其中, 周期和占空比都将进行调制 ( 但周期是固定的, 按照典型的 PWM 调制方式对占空比进行调制 ) 参见图 2 和图 3, 了解 PWM 和 调制之间的比较结果 a. Arm 是 Arm Limited( 或其子公司 ) 在美国和 / 或其他地区的注册商标 b. 通常情况下, 调制器的输出可以是多位的, 但本文档仅关注 1 位 A/D 转换器 ( 这是最常见的情况 ) 6/56 AN4990 Rev 1 [English Rev 1]

7 AN4990 使用 DFSDM 的 A/D 转换原理概述 注 : 接下来,STM32 微控制器 DFSDM 外设对输出 调制器的数字数据流进行处理 DFSDM 使用需要根据应用要求而配置的参数, 执行数字过滤 为了进行分析, 数字流通常从二进制 0 和二进制 1 权重 转换 为 +1 和 -1 权重, 用于与无任何 DC 分量的输入电压进行比较 零输入电压产生占空比 50:50( 使用一阶 调制器 ) 图 2. PWM 调制示例 1.5 PWM MSv43871V1 AN4990 Rev 1 [English Rev 1] 7/56 55

8 使用 DFSDM 的 A/D 转换原理概述 AN4990 图 3. 调制示例 1.5 Δ MSv43872V1 1.3 数字滤波器 DFSDM 外设 ( 数字滤波器, 用于 调制器 ) 处理 A/D 转换的数字部分 数字数据流由外部 调制器提供 DFSDM 的基本功能是实现数字滤波器 DFSDM 处理流程包括对快速速率输入串行流取平均值, 产生具有更高分辨率的并行 低速率数据输出 DFSDM 嵌入式滤波器具有一组可配置参数, 可以调整输出分辨率和数据速率, 满足应用要求 DFSDM 具有其他与 ADC 相关的功能, 包括 : 每个通道上的独立快速看门狗, 具有可编程速度和分辨率, 可检测超过最小或最大允许电压电平的输入信号 刹车信号生成, 用于即时向其他外设 ( 定时器 ) 报告模拟看门狗或短路检测等事件 每个通道上的短路检测器, 可以非常快速地检测信号钳位 : 当输入电压达到其中一个模拟量程限制, 并在超过给定的持续时间内保持稳定时 ( 独立于主转换 ) 极值检测器, 用于记录最小和最大输入电压偏移 8/56 AN4990 Rev 1 [English Rev 1]

9 AN4990 调制原理 ( 外部模拟前端机能, 模拟 ) 2 调制原理 ( 外部模拟前端机能, 模拟 ) 2.1 调制原理 图 4 给出了 调制器的基本功能框图 图 4. 调制原理 D Q DAC (+Vref/- Vref) A D MSv36525V2 1. 上图中的参考电压 [1] 至 [5] 用于以下段落 AN4990 Rev 1 [English Rev 1] 9/56 55

10 调制原理 ( 外部模拟前端机能, 模拟 ) AN4990 图 5 是在模数转换的不同阶段的可用信号示例 图 5. 调制器电压时序图 [1] [2] [3] [4] [5] MSv43873V1 1. 上图中的参考电压 [1] 至 [5] 用于以下段落 以下使用图 4 和图 5 所示的参考来描述 调制 : 模拟输入信号 [1] 被添加到比较器的 1 位 DAC 输出反馈 (+Vref 或 -Vref 电压 ), 结果 [2] 进入积分器 积分器累计模拟输入信号 [1] 和 1 位 DAC 输出反馈 (+Vref 或 -Vref 电压 ) 之间的差值 然后, 使用比较器, 对积分器输出 [3] 与零电压参考进行比较 按照时钟频率, 使用 D 锁存器定期锁存比较器输出 [4], 从而以量化的时步 ( 时钟节拍 ) 将比较器结果传导至调制器输出 D 锁存器输出 [5] 即为 调制器的数字 1 位输出 输出被馈送至 1 位 D/A 转换器, 后者仅输出 2 个可能的模拟电压 ( 通常用作 +Vref 和 -Vref 参考电压之间的开关 ) 1 位输出数据流的数据速率由调制器时钟频率定义 调制的输出是由调制器时钟定时的数字数据流 ( 图 5 上的黑色曲线 ) 该输出的平均值 ( 在数字域中计算 ) 表示输入模拟电压 该数字平均值应被计算为 : 在 输出流内, 在给定数量的时钟周期期间中观察到的 1 的数量与 0 的数量之间的比率 该数字数据流作为 STM32 微控制器 DFSDM 外设的输入, 可在上述外设中对其进行滤波 需要根据应用要求设置 DFSDM 的可配置参数 10/56 AN4990 Rev 1 [English Rev 1]

11 AN4990 调制原理 ( 外部模拟前端机能, 模拟 ) 2.2 调制的优点 噪声整形 必须对来自 调制的输出信号进行滤波, 去除高频内容 ( 量化噪声 ), 仅保留有用的频带 需要了解 调制信号频谱才能正确设计此类滤波器 调制信号频谱不同于 PWM 调制频谱 PWM 调制信号的特征在于具有固定周期和可变占空比 由于具有固定的调制周期 ( 或频率 ),PWM 频谱显示出与调制基频及其谐波对应的典型能量峰值 模拟滤波 (RC 或 LC 滤波器 ) 较难以去除这些谐波峰值 调制使用可变占空比和可变频率 如此一来, 频谱上的能量更均匀地扩散, 而不会如同 PWM( 无固定的调制频率 ) 一般集中出现在规则间隔的峰值上 此外, 与 PWM 相比, 调制通常在高频时具有更高能量 ( 因为调制频率更高 ) 模拟滤波(RC 或 LC 滤波器 ) 可以轻松去除 调制的噪声内容 图 6 介绍了 PWM 和 调制的典型频谱 ( 分别对应于图 2:PWM 调制示例和图 3: 调制示例中介绍的信号 ) PWM 调制在较低频处产生较高的峰值, 因此 PWM 处理需要更高阶的滤波器, 以便适当地抑制与 PWM 调制基频相对应的第一能量峰值 在 调制频谱中, 在较低频率下, 能量较低, 因此滤波器的设计更简单 滤波能力必须适应 调制器的阶数 例如, 数字麦克风通常具有四阶 调制器, 其在有用频带中具有低量化噪声, 但在频带外具有非常强的量化噪声 必须选择滤波器阶数, 以便在不影响有用频带的情况下, 抑制强频带外量化噪声 调制将量化噪声更均匀地传导至更高频率, 这一效果被称为 噪声整形 此特性可用于设计更简单的滤波器, 形成较少噪声的基带信号 AN4990 Rev 1 [English Rev 1] 11/56 55

12 1 4 7 调制原理 ( 外部模拟前端机能, 模拟 ) AN4990 图 6. PWM 和 Σ 调制的频谱 250 FFT 200 FFT Δ FFT PWM MSv43874V A/D 转换的线性 调制器的输出是 1 位串行数据流 此数据流的分辨率只有一位, 通常不足于用于此应用而言 提高信号分辨率的方法包括在给定的时段内, 对 1 位流取平均值 平均的数据流具有更宽的分辨率 ( 通常为 16 位 ), 但采样率更低 取平均 ( 滤波 ) 操作基于数字域中的线性数学运算, 因此不会由于滤波而增加非线性失真 通常, 具有并行数据输出的 A/D 转换器所使用的模拟元件数量多于 调制器 例如, 具有 N 位分辨率的 SAR ADC 类型在内部使用具有 N 个电阻器 ( 或电容器 ) 的 R-2R 电阻器网络 ( 或 C-2C 电容器网络 ) 这些类型的 ADC 中使用的电阻 ( 电容 ) 必须具有精确的 1:1 或 1:2 电阻 ( 电容 ) 比 SAR ADC 的线性取决于电阻器 ( 电容器 ) 的精度 在实际应用中, 比率永远无法十全十美, 不精确性是造成非线性 从而影响传输曲线的根本原因 由于上述原因, 使用 调制的 A/D 转换的线性通常优于其他类型的 A/D 转换 ADC 转换的非线性仅取决于 调制器设计 ( 参见图 4: 调制原理 ) 此外, 非线性仅取决于输入电压对模拟元件固有特性的影响 ( 积分器和开关中的电容器 / 电阻器, 其中电容 / 电阻随输入电压变化 ), 而不取决于不同组件标称值之间的比率 对于音频应用而言, 线性更为重要, 因为在这些应用中, 非线性会导致信号失真 ( 静态线性 INL 与动态线性 THD 关联 ) 可扩展的 ADC 分辨率 转换的最终输出分辨率并非固定 ( 如 12 位 SAR ADC 的示例所示 ) 调制器的输出分辨率是 1 位, 通过跟随数字滤波 ( 取平均值 ) 至所需分辨率, 即可增加分辨率 12/56 AN4990 Rev 1 [English Rev 1]

13 AN4990 调制原理 ( 外部模拟前端机能, 模拟 ) 此分辨率增加方法的缺点在于降低了输出数据速率 数据速率的降低情况是可预测的, 必须针对给定的应用要求进行计算 例如, 可以针对 1 khz 输出数据速率, 将数字滤波器配置为 24 位输出, 或者, 针对 50 khz 输出数据速率, 将数字滤波器配置为 16 位输出 理论上, 可以无限制增加分辨率, 但在实际操作中, 需要考虑噪声和转换路径中的组件所引起的误差 ( 调制器设计 注入噪声...) 另一个需要纳入考虑的因素是采样周期内的信号稳定性, 因为信号稳定性会影响测量精度, 特别是对于极低数据速率和高分辨率的应用 2.3 调制的缺点 偏移和增益误差 来自 调制器的 1 位数据流信号的平均值表示模拟输入信号的平均值 此数字输出平均值的精度 ( 在 [0..1] 范围内 ) 可能受到以下 调制器组件的影响 ( 参见图 4: 调制原理 ): 积分器中的电阻器和电容器 1 位 DAC 中的参考电压 (+Vref/-Vref) 积分器的偏移以下组件具有容差 : 电容器 / 电阻器值 偏移电压 +Vref 和 -Vref 绝对电压之间的差值 在理想情况下,1 位数字输出平均具有的 0 和 1 的数量与零伏输入信号完全对应 由于组件容差 ( 如上所述 ), 对应于具有相同数量的 0 和 1 的输入模拟电压并非精确到零伏, 存在偏移误差 偏移误差可以通过软件或硬件补偿 ( 校准过程 ) 在理想情况下, 占空比为 50:50 的输出 1 位数字信号 (0 的数量等于 1 的数量 ) 应与零输入模拟电压完全对应 由于组件容差 ( 如上所述 ), 对应于占空比为 50:50 的输入模拟电压并非精确到零伏, 存在偏移误差 偏移误差可以通过软件或硬件补偿 ( 校准过程 ) 增益系数即输出数据和输入电压之间的比率, 也受到 组件容差的影响 理论增益和实际测量的转换增益之间的差值表示增益误差 它也可以通过校准 ( 通常在软件中 ) 进行补偿 组件的部分特性还取决于温度, 这反过来影响到偏移和增益误差 温度对积分电阻器和电容器的影响仅体现在增益误差上 偏移误差受温度变化的影响较小, 因为这些参考值是对称的, 因此影响 +Vref 和 -Vref 的误差可以进行自补偿 低数据率 借助 调制器来提高分辨率的方法是, 增加 1 位数据流的平均值 ( 更长的取平均时间或更高的滤波阶数 ) 因此, 转换器主要用于较低数据速率的应用 ( 通常用于音频范围和较低频率 ) 但在要求具有出色线性的特殊情况下, 转换器可用于较高数据速率的应用 AN4990 Rev 1 [English Rev 1] 13/56 55

14 调制原理 ( 外部模拟前端机能, 模拟 ) AN4990 对于准静态应用 ( 温度传感器 ), 数据速率并不是约束条件, 此时, 由于 调制器拥有可扩展的分辨率能力 ( 低数据速率下具有高分辨率 ), 通常会选择 调制器 2.4 调制器的模拟 使用 [TUTORIAL] 进行模拟 为了帮助理解 调制,[TUTORIAL] 展现了 模型 ( 如图 4: 调制原理所示 ) 在图 4 中, 调制原理的每个参考电压信号提供时序图 用户可以更改部分参数和输入电压, 查看其对 调制器各个级的影响 在 [TUTORIAL] 中提供了一个模拟示例, 示例基于正弦输入信号, 如图 7 所示 图 7. 调制器模拟 14/56 AN4990 Rev 1 [English Rev 1]

15 AN4990 数字滤波 - 原理和设计 3 数字滤波 - 原理和设计 3.1 功能描述 数字滤波器对 调制器产生的 1 位数据流执行滤波 ( 取平均值 ) 滤波器输出是指具有更高分辨率 ( 通常为 位 ) 但数据速率降低 ( 抽取 ) 的数据字 数字滤波器的功能包括去除频带外频率分量 ( 量化噪声 无用信号...) 和根据有用带宽降低数据速率 ( 抽取 ) 滤波器的设计显著影响到 A/D 转换的特性, 是所需参数 ( 滤波器的锐化度 滤波器调谐 最终分辨率...) 与硬件实现复杂性 ( 导致成本 ) 之间折衷的结果 我们的目标是在满足所需 A/D 特性的同时最小化滤波器设计的复杂性 注 : 与简单地对 1 位数据流取平均值相比, 信号滤波通常需要更复杂的处理程序 以下是设计滤波器时需要考虑的一些因素 : 过滤器类型 : 在各种类型的滤波器中,Sinc 滤波器具备有趣的特性, 完美结合了低价的硬件实现与可接受的性能水平 可以使用 sinc(x) 函数 ( 得名原因 ) 对 Sinc 滤波器的频率响应进行建模 Sinc 滤波器是最常用的 A/D 转换器实现类型 由于无需使用乘法器, 因此价格便宜, 且滤波器系数为整数 Sinc 滤波器对 1 位样本执行简单的 滑动平均 计算 滤波器长度 (FOSR - 滤波器过采样率 ): 较长的滤波器 ( 对较多样本取平均值 ) 会产生更高的分辨率, 但会降低输出数据速率 ( 抽取 ) 因此, 滤波器长度是所需转换速率和最终数据分辨率之间的折衷结果 滤波阶数 (FORD): 滑动平均 计算可以多次应用于已经取得平均值的样本 滤波阶数定义 滑动平均 计算应用于相同输入样本的次数 高阶滤波器 ( 通过添加更多的取平均值循环 ) 产生更高的分辨率, 但增加了延迟 3.2 Sinc 滤波器功能示例 - 提高分辨率 本节重点介绍多个 滑动平均 计算如何增加 Sinc 滤波器的分辨率 此处详细展示了三阶滤波器 (FORD = 3), 其长度为 FOSR = 10( 在每个滤波器级的滑动平均 ): 对输入流的 3 个周期进行观察 :3 FOSR = 30 个样本 ( 参见图 8 和图 9 ) 除了每个取平均周期 (FOSR = 10) 的 1 脉冲外, 输入的 1 位数据流几乎总是 0 ( 参见图 8 和图 9 的 输入 曲线 ) 测试两个输入流 : 一个输入流具有完全等距脉冲 ( 在水平轴的位置 和 30, 参见图 8 ); 在另一个输入流中, 可通过一个周期来模拟稍高的脉冲密度, 从而预测第二个脉冲 ( 在水平轴的位置 和 29, 参见图 9 ) AN4990 Rev 1 [English Rev 1] 15/56 55

16 数字滤波 - 原理和设计 AN4990 对一阶滤波器级执行滑动平均 ( 参见 一阶 曲线 ), 在每个 FOSR 周期进行最终结果采样, 从而得到一阶滤波器的结果 ( 在第 和 30 个周期 ) 在上述两个输入流 ( 图 8 和图 9 ) 的情况下, 由于对一阶滤波器级执行简单滑动平均法 ( 每个周期只有一个 '1'), 最终结果始终为 '1 二阶滤波器级对来自一阶滤波器级的样本执行滑动平均 ( 参见 二阶 /10 曲线 ) 在每个 FOSR 周期进行最终结果采样, 得到二阶滤波器结果 ( 在第 个周期 ) 两个输出流 ( 图 8 和图 9 ) 的最终结果之间存在明显差异 : 由于 '1' 的密度较高 ( 图 9 具有较高密度的输出流, 它产生的值高于图 8 低密度流的值 ) 三阶滤波器级对二阶滤波器级的样本执行滑动平均 ( 参见 三阶 /100 曲线 ) 在每个 FOSR 周期进行最终结果采样, 得到三阶滤波器的结果 ( 在第 30 个周期 ) 低密度流 ( 图 8 ) 和高密度流 ( 图 9 ) 的最终结果之间存在显著差异 由于执行了滑动平均, 第三个输出更平滑, 更精确 FORD, 滤波器输出端的信号动态范围为 FOSR 但需要 FORD FOSR x 个样本才能获得第一个结果, 因为每个滤波器级必须填充前一个滤波器级的有效样本 ( 参见图 8 和图 9 ) 总之, 高阶滤波器能够在给定的流持续时间内提供更高的分辨率, 或者在较短的流持续时间内提供相同的分辨率 其缺点在于硬件设计更复杂, 滤波器初始化时间更长 2.5 图 8. 每个滤波长度有一个 1 的三阶滤波器输出示例 /10 / = MSv43875V1 16/56 AN4990 Rev 1 [English Rev 1]

17 AN4990 数字滤波 - 原理和设计 图 9. 输入脉冲密度较高的三阶滤波器输出示例 2.5 /10 / = MSv43876V1 3.3 Sinc 滤波器的硬件设计 Sinc 传递函数能够表示 Sinc 滤波器特征, 以下提供其在数字域中的公式 可以理解为 : 输入 1 位数据的多个滑动平均值 本节的其余部分重点介绍如何实施简化, 以实现高效且极其简单的硬件实现 公式 1: yn ( ) = xn ( ) + xn ( 1) + xn ( 2) + + xn ( ( FOSR 1) ) 其中 : X(n) 是输入的第 n 个样本 y(n) 是输出的第 n 个样本图 10 将上述公式直接转换为硬件实现 : AN4990 Rev 1 [English Rev 1] 17/56 55

18 数字滤波 - 原理和设计 AN4990 图 10. 简单滑动平均法实现的基本原理图 FOSR-1 x(n) Fs + x(n-1) + + x(n-2) x(n-(fosr-1)) + + y(n) + y(n) = x(n) + x(n-1) x(n-(fosr-1)) MSv36536V1 上述硬件实现需要 (FOSR-1) 个加法器和 (FOSR-1) 个触发器 可以使用下文介绍的部分简化方法 可以使用先前的输出结果 y(n-1) 来简化公式 1:: 公式 2: yn ( ) = xn ( ) + yn ( 1) xn ( FOSR) 公式 3: yn ( ) = xn ( ) xn ( FOSR) + yn ( 1) 图 11 是简化的原理图, 只需 2 个加法器和 FOSR + 1 个触发器 现在可以将原理图分为两部分 : 梳状级和积分器级 FOSR-1 图 11. 简化 Sinc 滤波器设计 - 步骤 1 x(n-fosr) y(n-1) x(n) Fs + - p(n) + + Fs y(n) p(n) = x(n) - x(n-fosr) : y(n) = p(n) + y(n-1) : MSv36537V1 根据这些对应关系, 将公式转换为 Z 域 ( 频域 ), 可以进一步简化 : Z 域中的 X(z) 对应于离散时域中的 x(n), 其中,n 是采样时钟周期的整数值 ( 采样时钟周期 = 1/Fs;Fs = 采样频率 ) z -N ₓ X(z) 对应于 x(n-n), 即, 延迟 N 个采样周期的 x(n) 18/56 AN4990 Rev 1 [English Rev 1]

19 AN4990 数字滤波 - 原理和设计 用于 Z 域的一阶 Sinc 滤波器公式变为 : 对应的传递函数 H(z) 是 : Yz ( ) = Xz ( ) z FOSR Xz ( ) + z 1 Yz ( ) Hz ( ) Yz ( ) = = Xz ( ) 1 z FOSR z 1 在图 11 中, 我们通过分解的方式介绍了 Sinc 滤波器的 2 个级 : 梳状级 和 积分器级 此类滤波器的传递函数( 称为 CIC: 级联积分器 - 梳状滤波器 ) 由下式给出 : Z 域中的梳状传递函数 : H C ( z) Pz ( ) = = 1 z FOSR Xz ( ) 积分器传递函数 : H I ( z) Yz ( ) = = Pz ( ) z 1 整体传递函数相当于 Sinc 滤波器传递函数 : H C ( z) H I ( z) Pz ( ) Yz ( ) ( 1 z FOSR ) z FOSR = = Xz ( ) Pz ( ) 1 z 1 = z 1 = Hz ( ) 另一个简化滤波器的示例包括置换梳状和积分器运算, 如图 12 所示 : Hz ( ) H I ( z) H C ( z) 1 = = z 1 ( 1 z FOSR ) 图 12. 简化 Sinc 滤波器设计 - 步骤 2 FOSR-1 x(n) + + Fs p(n) Fs + - y(n) p(n) = x(n) + p(n-1) : y(n) = p(n) - p(n-fosr) : MSv37941V2 由于滤波限制了输出信号带宽, 因此可以按 FOSR 因数, 对输出 y(n) 进行下采样 在每个 FOSR 时钟周期中取一个 y(n) 样本, 即可实现上述下采样 ( 参见图 13 ) AN4990 Rev 1 [English Rev 1] 19/56 55

20 数字滤波 - 原理和设计 AN4990 图 13. 简化 Sinc 滤波器设计 - 步骤 3 FOSR-1 x(n) + + Fs p(n) Fs - y(n) + Fs/FOSR MSv36539V1 下采样时钟周期的定义如下 : 下采样梳状部分的公式可以重写如下 : T FOSR T = = FOSR τ where: : τ = FOSR F s yn ( τ) = pn ( τ) pn ( τ FOSR τ) n T y FOSR p n T FOSR p n T FOSR T = FOSR FOSR n ym ( ) = pm ( ) pm ( 1) where: : m = FOSR 此运算相当于按 FOSR 因数对 p(n) 进行下采样 ( 随后是一阶微分器 ) 以高频采样的触发器系列可以替换为具有下采样频率的单个触发器 最终原理图如图 14 所示 下采样的影响在于取消了滑动平均操作 ( 因为输出数据速率降低 ) 图 14. 简化 Sinc 滤波器设计 - 步骤 4 x(n) + + Fs p(n) p(m) Fs/FOSR + - y(m) Fs/FOSR MSv36540V1 最终原理图 ( 图 14)) 减少为 2 个触发器和 2 个加法器 ( 均为 FOSR 位宽 ) 高阶 Sinc 滤波器是一阶滤波器级的串联级联, 其中, 梳状级和积分器级可以组合在一起 ( 图 15 ) 20/56 AN4990 Rev 1 [English Rev 1]

21 AN4990 数字滤波 - 原理和设计 图 15. 高阶 Sinc 滤波器的实现 K K x(n) + + Fs + + Fs p(n) p(m) Fs/FOSR + - Fs/FOSR + - y(m) Fs/FOSR MSv36541V1 高阶 Sinc 滤波器的传递函数 ( 滤波器阶数 = FORD) 由下式提供 : 对 FORD 一阶滤波器进行级联 : Hz ( ) = z 1 ( 1 z FOSR ) z 1 ( 1 z FOSR ) 重新排列阶数 : 最终公式 : Hz ( ) = FOSR 1 z 1 1 z 1 [( 1 z ) ( 1 z FOSR ) ] Hz ( ) Yz ( ) z FOSR = = Xz ( ) 1 z 1 FORD 注 : 在最终积分器级的输出端执行下采样, 允许在下采样速率下, 以统一的延迟微分器替换每个梳状级 ( 参见图 15 ) Sinc 滤波器实现可以扩展到多位宽的输入信号 ( 例如, 用于并行数据输入, 而非串行数据输入 ) 此时, 应扩展触发器和加法器的位宽 AN4990 Rev 1 [English Rev 1] 21/56 55

22 DFSDM 外设操作 AN DFSDM 外设操作 4.1 框图 图 16 是 DFSDM 外设以及所有内部功能块及其内部和外部连接的框图 DFSDM 不能简化为仅仅一个数字滤波器, 而是一个完整的数字外设, 可处理整个 A/D 转换过程 ( 当与外部 调制器相关联时 ) 图 16. DFSDM 外设框图 DFSDM ADCs ADC CPU/DMA Δ 1 M U X Sinc B u s MSv43889V1 4.2 DFSDM 组件 串行收发器 串行收发器 模块接收来自外部 调制器的串行数据 它采用 SPI 和曼彻斯特串行协议格式, 同时具有可配置的上升 / 下降采样时钟沿, 可支持大多数 调制器类型 它还支持数字麦克风使用的 PDM 信号格式 - 请参见图 28:MEMS 麦克风连接到 DFSDM( 支持立体声 ) 一个 DFSDM_DATINy 引脚最多可连接两个数字麦克风 ( 配置为立体声麦克风 ) 在此情况下, 每个麦克风配置为对不同的采样时钟沿敏感 ( 来自两个麦克风的信号出现在一条 DFSDM_DATIN 数据线上 ) 为了将此复合信号传输到两个不同的通道, 两个通道必须从与两个麦克风物理连接的引脚 (DFSDM_DATINy DFSDM_CKINy) 上获取串行输入 ( 参见图 28: MEMS 麦克风连接到 DFSDM( 支持立体声 ) 接下来, 配置每个通道, 使其在不同的采样沿上进行数据采样 外部时钟信号可以连接到 DFSDM_CKINy 引脚 ( 假设 调制器提供此时钟信号 ), 或者可以从内部时钟生成器获取时钟信号 ( 假设 调制器需要外部时钟信号 ) 内部时钟生成器通过 DFSDM_CKOUT 引脚驱动 调制器 曼彻斯特格式协议不需要外部时钟信号, 因为该协议是单线 ( 在 DFSDM_DATINy 引脚上 ), 时钟信号可根据曼彻斯特编码流重建 在丢失时钟 ( 外部硬件故障 ) 时, 时钟信号存在检测器可用于触发中断 22/56 AN4990 Rev 1 [English Rev 1]

23 AN4990 DFSDM 外设操作 串行收发器还提供 脉冲跳跃 脉冲跳跃能够在给定数量的采样时钟脉冲期间暂停串行收发器的输出 ( 丢弃 1 位数据样本的给定计数 ) 在实际应用中, 脉冲跳跃 用于波束整形应用, 相对于一个通道数据延迟另一个通道数据 波束整形技术使用检测器阵列 ( 麦克风 ), 从首选方向感测信号 ( 声音 ) 相对于先前的麦克风信号, 向每个麦克风信号注入延迟 延迟定义了首选的感测角度 并行收发器 并行收发器是一个内部 16 位并行输入寄存器, 可通过 APB 总线由 CPU DMA 访问, 或者直接由内部 ADC 访问 其功能在于对内部信号进行后期处理 ( 滤波 ) 使用示例: 对内部 ADC 捕获的数据进行滤波 对 SPI 外设捕获的数据进行滤波 ( 通过存储器缓冲区 ) 对存储器缓冲区中保存的任何 16 位数据进行滤波 (DMA 传输到 DFSDM 并行收发器 ) 数字滤波器 积分器 数字滤波器是处理输入数据的关键组件 可配置的数字滤波器用于支持最终应用需求 ( 速度 分辨率 ) 可以配置下列参数: 滤波阶数 :Sinc1... Sinc5,FastSinc 过采样率 : FOSR = ( 对于 Sinc1... Sinc3,FastSinc) FOSR = ( 对于 Sinc4) FOSR = ( 对于 Sinc5) 上述 FOSR 范围考虑了滤波阶数和滤波器内部分辨率 (32 位宽 ), 以避免溢出 ( 在 1 位输入信号的情况下 ; 对于多位并行输入信号, 范围减小 ) 可选的积分器可用作一个简单的加法器 它对滤波器输出提供的给定数量的样本进行求和 经过求和, 得出单个积分器输出的滤波器输出样本数, 这个数量的可配置范围为 IOSR = 积分器的工作方式类似于 Sinc1 滤波器 ( 对给定采样数取平均值 ) 输出数据单元 输出数据单元执行最终校正, 这包括向右移位, 以及对来自积分器的数据执行偏移校正 AN4990 Rev 1 [English Rev 1] 23/56 55

24 DFSDM 外设操作 AN4990 向右移位用于 : 将 32 位内部输出适配到最终的 24 位寄存器中 进一步限制最终分辨率 ( 例如, 在音频数据的情况下为 16 位 ) 偏移校正可校准外部 调制器的偏移误差 用户使用带符号的 24 位校正来配置偏移寄存器, 后者自动添加到输出结果中 偏移校正值通常是嵌入微控制器软件中的校准程序的结果, 该程序执行偏移校准计算并将校正存储到偏移寄存器中 DFSDM 外设中的所有操作都采用带符号格式 ( 滤波 积分 偏移校正 右移位 ) 模拟看门狗 模拟看门狗功能与 ADC 外设相同, 其作用在于, 当信号超过预定义阈值时, 触发微控制器 CPU( 中断 ) 或其他外设 ( 刹车信号 ), 从而控制 ADC 数据偏移保持在给定限制内 不仅可以监控 DFSDM 输出数据, 还可以监控来自 调制器 ( 串行收发器输出 ) 的原始数据 可以通过专用的可配置数字滤波器 (FOSR = ,FORD = ), 直接从串行收发器监控串行数据 如此一来, 无论主数据转换速度如何, 用户都能够在监控速度和监控分辨率之间取得最佳折衷结果 部分应用要求超过阈值的输入信号的反应时间要快于主转换速度 对主转换本身阈值的监控通常更为精确, 但速度更慢 短路检测器 短路检测器设计用于在信号超出或低于最大允许范围时, 快速检测突发模拟输入信号的饱和情况 在正常情况下, 模拟输入信号增益是受控的 ( 电流或电压感应回路 ), 永远不会达到此饱和水平 在某些极端情况下 ( 如短路 ), 感测到的信号测量值可能超出工作范围限制 此时, 反应时间必须尽可能快 ( 例如, 关闭电源 ) 短路检测器可实现此类非常快速的检测 信号饱和的检测基于对串行收发器的 1 位数据流的分析结果 典型的 调制器输出 1 位信号, 在 0 和 1 之间频繁转换 ( 以再现模拟信号波动 ) 当发生输入信号饱和时, 来自 调制器的输出要么是长串逻辑 '0'( 低于负阈值的信号 ), 要么是长串逻辑 '1'( 高于正阈值的信号 ) 如果此情况超过一定的持续时间, 则短路检测器触发 短路 事件 可以在 DFSDM 短路检测器阈值设置中 (SCDT) 设置触发检测的持续时间阈值, 范围为 1 到 256 个输入样本计数 例如, 如果 SCDT = 100, 则一旦在输入的 1 位数据流中检测到至少 100 个连续的 0 或 1, 便会触发短路检测器事件 短路检测器可以触发中断 ( 软件干预 ) 或激活刹车信号 ( 快速硬件干预 ) 24/56 AN4990 Rev 1 [English Rev 1]

25 AN4990 DFSDM 外设操作 此类短路检测速度快于基于模拟看门狗的检测, 因为后者涉及在较长串的 1 位输入样本中执行数字滤波 极值检测器 极值检测器简单地分析最终输出数据样本, 将最小值和最大值存储到极值寄存器中 通过读取这些极值寄存器, 可以在一段时间内监控转换信号的最大和最小电平 这些电平在软件后期处理中十分有用, 例如, 可用于信号归一化或用于自动增益控制 每一次读取极值寄存器时, 都会使用复位值对其进行重新初始化, 并重新启动极值检测 4.3 DFSDM 模拟 在 [TUTORIAL] 中, 针对 调制器和 DFSDM 内部块的行为进行建模 可以模拟输入信号的发生率和 DFSDM 参数的影响, 还可以观察和分析内部信号与输出信号 提出的模拟包括 : 调制器 ( 工作原理演示 ) Sinc 滤波器 + 积分器 ( 数字滤波器功能演示 ) Sinc 滤波器的频率特性 (LP 滤波器形状演示 ) PWM 和 信号的 FFT( 噪声整形演示 ) 高阶滤波器工作 ( 演示如何通过多个信号平均值提高分辨率 ) 调制器 (DAC 转换器 ) 调制器原理 该模拟请参见 [TUTORIAL] 的第一张工作表 一阶 原理的模拟基于图 4: 调制原理提供的原理图, 其中, 每个模块都已建模 调制器的输入信号对应于正弦波信号的一个周期 每个观察点 ( 如图 4 所述 ) 对应于图 17 所述图表的曲线 用户可以修改输入信号形状或修改调制器的一些参数 ( 积分器增益 V ref 电平 ), 并显示 调制器的数字输出的影响 该模拟说明了 调制器的原理, 可以在处理的不同阶段实现内部信号的可视化 此处, 将 调制器建模为一阶, 便于解释基本原理 在实际应用中, 除了通常使用四阶数字麦克风之外, 大多数 调制器使用二阶 AN4990 Rev 1 [English Rev 1] 25/56 55

26 DFSDM 外设操作 AN4990 图 17. 调制器模拟 DFSDM 滤波模拟 ( 滤波器和积分器 ) 该模拟请参见 [TUTORIAL] 的第二张工作表 DFSDM 模拟器的这一部分对应于 Sinc 滤波器和积分器级 ( 详细信息, 请参见第 节 : 数字滤波器和第 节 : 积分器 ) Sinc 滤波器和积分器模型均在 [TUTORIAL] 内构建, 且可以模拟 用户可以更改滤波器的 FORD 和 FOSR 参数, 以及积分器的 IOSR 参数 模拟结果与实际 DFSDM 块获得的结果相同 用户可以根据其应用, 在模拟中调整滤波器和积分器参数, 无需等待实际的原型即可观察对输出信号形状的影响 该模拟需要来自 调制器的数字信号输入 (1 位数据流 )( 如同在实际应用中一样 ) 在这个特定的模拟中, 由 [TUTORIAL] 中的一阶 调制器模拟结果提供输入 ( 参见第 节 : 调制器原理 ) 如此一来, 可以将输出数字信号 (DFSDM 滤波模拟曲线 ) 与施加到 调制器的输入模拟信号进行比较 接下来, 应调整滤波器参数, 以便确保以下方面达到应用要求 : 进入 调制器的模拟输入信号与来自 DFSDM 的输出数字化信号 ( 最终输出样本 ) 之间的可接受误差 图 18 不仅提供输出曲线 (FORD = 5), 还提供每个滤波阶数输出端的内部数据结果 它显示了通过执行更多滑动平均循环获得的分辨率增益 26/56 AN4990 Rev 1 [English Rev 1]

27 AN4990 DFSDM 外设操作 图 18. 滤波模拟 Sinc 滤波器的频率特性 该模拟请参见 [TUTORIAL] 的第三张工作表 可根据滤波阶数可视化 Sinc 滤波器的频率特性 可以在滤波器的输入端施加脉冲信号, 并计算滤波器输出端的脉冲响应的 FFT 变换, 从而确定滤波器的频率特性 以上便是在模拟模型中计算滤波器特性的方法 该模型计算滤波器的脉冲响应, 并将结果提交给 512 点 FFT 变换 使用不同滤波阶数的结果请参见 [TUTORIAL] 和图 19 梳状频率响应具有周期性衰减点 ( 陷波 - 也称为 零 ), 在较高频率下存在降低趋势 ( 低通滤波 ) 第一个陷波的频率取决于所选的 FOSR, 并由 f sampling /FOSR 给出 在连续采样的情况下,f sampling /FOSR 也是输出数据速率频率 与陷波相对应的输入信号的频率分量被滤波器完全抑制 在部分应用中, 可借助该属性, 按照预定的频率, 从输入信号中去除外部噪声 例如, 有时需要使用长导线将远距离传感器连接到微控制器, 这种长导线易于受到电网中收集的噪声 (50/60Hz) 的影响, 此时便可根据上述属性进行滤波 另一个特性在于, 在较高频率下的衰减 ( 陷波除外, 陷波的频率仅取决于 FOSR) 与滤波阶数 (FORD) 成比例 因此, 滤波阶数越高, 在较高频率下的抑制情况更明显 在感应准静态信号 ( 如温度或压力传感器 ) 的应用中, 建议使用高阶滤波器 (FORD) 以及高过采样率 (FORD) 来抑制 AC 扰动产生的噪声 可以使用位于滤波器之后的积分器来完成对准静态信号的进一步滤波 增加积分器过采样率 (IOSR) 可以对信号执行额外的取平均值操作 在实际应用中, 用户应首先设置 ( 高 )FORD, 随后正确设置 FOSR 和 IOSR, 从而抑制来自主电源频率 (50/60Hz) 的噪声 由 [Sinc 滤波器 + 积分器 ] 组成的整个模块的第一个陷波频率为 : f sampling /(FOSR * IOSR) AN4990 Rev 1 [English Rev 1] 27/56 55

28 DFSDM 外设操作 AN4990 图 19. 滤波器频率特性 调制的噪声整形 该模拟请参见 [TUTORIAL] 的第四和第五张工作表 它对比 PWM 调制信号的频谱与 调制信号的频谱, 突出了后者在噪声整形方面的优势 在进行 FFT 变换之前, 对相同的输入信号 ( 正弦波的一个周期, 参见图 20 ) 执行 调制或 PWM 调制 图 21 比较了 PWM 调制信号和 调制信号的频谱 X 轴表示频率, 是基本信号频率 ( 信号基频及其谐波 ) 的倍数,Y 轴表示频谱密度 逻辑上, 指数 1( 信号基频 ) 的频谱幅度非常大 (~470) 为了重建原始信号, 必须滤除位于指数 >1 的位置上的所有其他峰值 28/56 AN4990 Rev 1 [English Rev 1]

29 AN4990 DFSDM 外设操作 图 20. 和 PWM 调制信号 ( 用于频谱比较 ) AN4990 Rev 1 [English Rev 1] 29/56 55

30 DFSDM 外设操作 AN4990 图 21. 信号和 PWM 信号的频谱 图 21 表明,PWM 信号频谱的能量集中在多个调制频率周围的峰值上 ( 此时, 调制频率 = 16 信号基频 ) 这归因于 PWM 调制的特性, 即, 具有恒定调制频率和可变占空比 峰值的幅度随着频率的增加而减小 相反地, 一阶 调制频谱表明, 在一次谐波下的幅度较低, 而后, 幅度随着频率而逐渐增加 根据先前观察的结果, 与 PWM 调制信号相比, 在重建原始信号时 ( 如图 21 所示, 指数 1 处的正弦波信号 ), 调制信号对低通模拟滤波器的要求更低 低通滤波器 ( 模拟滤波器 ) 的截止频率必须略高于待观察的原始信号的有用模拟带宽, 且必须足够清晰, 以便充分抑制由信号调制产生的所有高频分量 由于与 调制信号相比,PWM 信号有更多不必要的能量集中在低频, 因此, 低通模拟滤波器必须更锐化 ( 高阶模拟滤波器 ) 调制信号的另一个特点是, 在频谱下部的量化噪声几乎是恒定的, 与输入信号分辨率无关 ; 而对于 PWM 调制信号而言, 量化噪声的降级会影响整个频谱, 特别是一次谐波 将输入信号幅度从满量程的 95%( 图 21) 降低至满量程的 10%( 图 22), 即可明显地观察到, PWM 调制信号对量化噪声降级具有较高的灵敏度 30/56 AN4990 Rev 1 [English Rev 1]

31 AN4990 DFSDM 外设操作 图 22. 信号和 PWM 信号的频谱, 信号幅度降至满量程的 10% FFT FFT Δ FFT PWM MS45750V 高阶滤波器操作 该模拟请参见 [TUTORIAL] 的第六张工作表 它显示了如何通过对相同数据应用多重平均技术 ( 高阶滤波器的原理 ) 来提高滤波器输出分辨率 对于该模拟, 取平均值的周期是 10 个样本 (FOSR = 10) 在取平均值的周期内, 输入信号由单个脉冲组成 ( 一个样本为 1, 另外九个样本为 0) 执行多重平均 观察到两种不同的情况 ( 参见图 23 ): 1. 在第 和 30 个样本处发生等距脉冲 这种情况对应于恒定密度信号 2. 在第 和 29 个样本处发生非等距脉冲 这种情况对应于密度变化的信号 AN4990 Rev 1 [English Rev 1] 31/56 55

32 DFSDM 外设操作 AN4990 图 23. 高阶滤波器 - 多重平均原理 显示在上述两种输入信号情况下, 以及进行 1 2 或 3 次取平均值之后的结果 ( 类似于滤波阶数 FORD = 1,FORD = 2,FORD = 3) 每 10 个采样周期 ( 取平均值周期结束 ) 进行最终输出的采样 在第一种情况下, 由于输入脉冲是等距间隔 ( 每 10 个样本 ), 无论滤波阶数如何, 输出 ( 在第 个样本处的采样结果 ) 始终为 1.00 在第二种情况下, 输入脉冲略微靠近 ( 在第 和 29 个样本处的脉冲 ), 因此脉冲密度略高 这对于第一种情况所述的简单平均滤波器并无影响, 因为这种滤波器始终提供相同的结果 1.00( 在第 1 2 和 3 个取平均值周期中保持恒定 ) 这是因为, 它仅在一个周期 (10 个样本 ) 内执行平均 这意味着一阶滤波器无法检测脉冲密度的变化 ( 或者, 必须设计具有较高 FOSR 较低数据速率的滤波器) 双重平均则略微不同 第一个有效结果出现在第 2 个取平均值周期后的输出上, 因为双重平均使用 2 个周期的信号来构建一个最终样本 根据双重平均得出的结果如下 :1.10( 在第 2 个周期之后得到的结果 ) 和 1.00( 在第 3 个周期之后得到的结果 ) 这意味着二阶滤波器能够检测到在信号的第 2 个取平均值周期期间发生的脉冲密度增加, 以及在第 3 个取平均值周期期间发生的脉冲密度减少 三重平均可提供更高的精度 第一个有效结果出现在第 3 个取平均值周期后的输出上, 因为三重平均使用 3 个周期的信号来构建一个最终样本 根据三重平均得出的结果如下 :1.08 ( 在第 3 个周期之后得到的结果 ) 这意味着三阶滤波器可以提供更高的脉冲密度精度 32/56 AN4990 Rev 1 [English Rev 1]

33 AN4990 DFSDM 外设操作 DAC 模拟 该模拟请参见 [TUTORIAL] 的第七张工作表 调制不仅可用于 ADC 转换, 还可用于 DAC 转换 在这种情况下, 调制器图的所有模拟模块 ( 参见图 4: 调制原理 ) 均替换成等效的数字模块 ( 图 24 ) 图 24. 一阶 DAC 原理 MSB D Q Q[N:1] D[N:1] MSv43891V1 以下是每个块在数字域中的特性 : 减法 块是数字运算 积分器 块也是一个简单的累加运算 ( 输入位的总和 ) 比较器 块是数字比较器 它确定来自积分器的数字数据字的符号 ( 最高有效位 = 符号位 ) 位填充 块相当于图 4: 调制原理使用的 1 位 DAC 它替换成 ADC, 根据比较器输出提供的符号, 其输出结果为 ± digital_reference_word digital_reference_word 的幅度应当对应于进入比较器的数字信号的输入范围 ( 相当于 转换器的 + V ref -V ref ) 低通滤波器 块是一个模拟滤波器, 它对快速 1 位数字流进行模拟滤波 快速 1 位数字流表示 信号, 在这种情况下, 由并行 N 位宽数字输入信号 ( 调制器 ) 构建 1 位数字流具有与 调制器 ( 噪声整形 ) 中的 1 位数字流相同的属性, 并且可以使用更简单的模拟滤波器 ( 相对于 PWM 信号 ) 进行滤波 该 DAC 的模拟得到的输入是一个数字化的正弦波信号, 幅度为 +/- 5( 参见图 25 的 数字输入 粉红色信号 ) 在实际应用中, 快速 1 位数字流输出 ( 图 25 的蓝色信号 ) 应执行模拟低通滤波 出于模拟的需要, 模拟低通滤波由数字 Sincx 滤波器代替 AN4990 Rev 1 [English Rev 1] 33/56 55

34 DFSDM 外设操作 AN4990 图 25. DAC 模拟 尽管数字输入正弦波量化仅使用 11 个离散电平 [-5,...,+ 5], 最终的 DAC 输出 ( 参见图 25 的各种滤波阶数输出 ) 显示了妥善重建的 模拟 正弦波 同样地, 与 PWM 调制相比, 使用 的优点是输出信号具有更简单的低通滤波 ( 在 DAC 情况下为模拟 ) 这是出于与第 节 : 调制的噪声整形开发的信号相同的原因 高通滤波器模拟 该模拟请参见 [TUTORIAL] 的第八张工作表 高通滤波器适用于需要从输入信号中去除 DC 分量和 / 或低频噪声的多种应用 ( 音频应用, 包括麦克风输出中的静压变化 AC 电能测量 随温度变化的 DC 偏移...) DFSDM 不实现任何高通滤波, 但它可以作为对 DFSDM 采样数据的后期处理, 在软件中轻松实现 ( 作为使用外部硬件高通滤波器的替代方案 ) 模拟中使用的高通滤波器由以下公式建模 : y(n) = (coeff / 256) (y(n-1) + x(n) - x(n-1)) 其中 : y(n):= 模拟中的 filtered_value ( 滤波器输出 ) coeff:= 模拟中的 coeff ( 滤波器截止频率 ) y(n-1)= 模拟中的 last_filtered_value ( 前一个滤波器输出 ) x(n)= 模拟中的 样本 ( 滤波器输入样本 ) x(n-1)= 模拟中的 last_sample ( 滤波器前一个输入样本 ) 该滤波器的复杂性有所降低, 只需一次乘法 两次加法和一次移位运算 (/256) 34/56 AN4990 Rev 1 [English Rev 1]

35 AN4990 DFSDM 外设操作 在模拟中, 将具有不断减少的 DC 偏移值的正弦波应用于高通滤波器 ( 图 26 的浅蓝色曲线 ) 图 26. HP 滤波器模拟 高通滤波器输出由图 26 的深蓝色线表示 在适配周期之后, 高通滤波器抑制 DC 和低频内容 适配速度取决于 coeff 值 ( 滤波器的截止频率 ) 4.4 DFSDM 的其他功能 支持数字麦克风 (MEMS) 根据音频应用领域的标准化规定,PDM 调制 ( 脉冲密度调制 ) 是数字麦克风的常见输出格式 PDM 信号相当于 调制信号, 因此,DFSDM 支持 PDM 信号 数字麦克风是使用半导体技术制造的 MEMS 器件 ( 微机电系统 ) 此类麦克风的有源致动器包括一张膜和一对微电极, 其中一个电极是固定的, 另一个电极结合在膜内 当空气压力 ( 声音 ) 施加到膜上时, 移动电极远离其默认位置, 两个电极之间产生电容变化 感应信号由内置电子处理, 作为 PDM 调制信号输出 ( 脉冲密度调制 ) 数字麦克风需要外部时钟信号 ( 麦克风 CLK 输入信号 ), 数据作为 PDM 调制信号, 通过 DATA 输出线发送 时钟速度的范围通常介于 1 至 3.2 MHz 之间 DFSDM_CKOUT 输出信号提供时钟信号, 后者将麦克风输出数据速率定义至 DFSDM 图 28 是立体声数字麦克风和 DFSDM 之间典型接线的原理图 AN4990 Rev 1 [English Rev 1] 35/56 55

36 DFSDM 外设操作 AN4990 DFSDM 可通过一条线路并联 2 个麦克风 ( 立体声配置 : 左右声道 ) 两个麦克风共用数据和时钟信号 时钟信号从 DFSDM_CKOUT 引脚分配到左右麦克风 来自两个麦克风的输出数据信号在同一条线路上复用 : 左侧麦克风在时钟上升沿提供数据, 右侧麦克风在时钟下降沿提供数据 ( 参见图 27 ) 左声道或右声道麦克风的配置通常是通过在麦克风上配置引脚来实现的 (L/R 选择引脚 ) 图 27. MEMS 麦克风输出 (L 和 R 声道 ) T CLK CLK TR, EN TR, DIS TL, DIS PDM R High Z Z TL, EN PDM L Z Z MSv43892V1 图 28. MEMS 麦克风连接到 DFSDM( 支持立体声 ) DFSDM R 4 0 L MEMS L DFSDM_DATIN3 DFSDM_DATIN2 DFSDM_DATIN1 DFSDM_DATIN (1) (2) MEMS R DFSDM_CKOUT 1. 直接输入, 下降沿采样 (R 数据 ) 2. 从下一个声道重定向, 上升沿采样 (L 数据 ) MSv43890V1 DFSDM 将 DATA 线上的两个麦克风信号进行分离 可以重定向 DFSDM 声道 x 的输入, 以便将此声道 (x+1) 作为输入 接下来, 将声道 x 配置为在上升沿进行数据采样, 将声道 (x+1) 配置为在下降沿进行数据采样 两个声道的时钟信号相同, 且内部连接至 DFSDM_CKOUT 信号 通过此配置, 声道 x 从左侧麦克风接收数据, 而声道 (x+1) 从右侧麦克风接收数据 两个声道向各自的数字滤波器馈送数据, 最终为左右麦克风声道输出两个独立的并联数据流 参见图 28, 了解使用 DFSDM 的立体声麦克风应用的完整图形 36/56 AN4990 Rev 1 [English Rev 1]

37 AN4990 DFSDM 外设操作 支持波束整形 借助波束整形技术, 一组固定的多向传感器阵列可以支持来自特定方向的信号 ( 下例中的麦克风阵列 ) 可以通过固件更改首选方向( 无需更改传感器的位置 ) 在以下示例中, 麦克风阵列位于一条等距间隔的线上 ( 参见图 29 ) 音频源以任意角度放置在麦克风阵列的前方, 同时, 其周围存在不必要的噪声和干扰 如果将来自所有麦克风的信号简单加总在一起, 则仅对垂直于阵列的声音进行相干求和 ( 因此被放大 ) 来自非垂直方向的声音无法相干求和 图 29. 波束整形原理 t 1 t 2 t 2 t 1 t 0 \ t 2 t 1 t 0 MSv43893V1 为了放大来自另一个方向 ( 而不是垂直方向 ) 的信号, 一种解决方案是机械地旋转麦克风阵列, 另一种解决方案是为阵列中的每个麦克风增加一个特定的延迟, 以便在加法器的输入端, 对经过各个麦克风到达的有用信号的不同传导延迟进行补偿 当调整所有麦克风的延迟线时, 仅来自有用音频源方向的声音同相且被放大 ( 相干组合 ), 不同角度的声音的放大幅度较低 ( 不相干组合 )( 参见图 29 ) 以上概述了波束整形算法 ( 简化视图 ) 可以实现更精细的算法, 提供更出色的性能 AN4990 Rev 1 [English Rev 1] 37/56 55

38 DFSDM 外设操作 AN4990 可使用 DFSDM 实现波束整形, 详见下述 DFSDM 能够感应来自多个麦克风的信号, 并执行分别处理, 从而提供并行输出样本 来自不同麦克风的输出样本存储在微控制器存储器的独立数据缓冲区中 ( 例如, 来自 4 个麦克风的 4 个存储器缓冲区 ) 接着, 微控制器将样本相加, 确定最终输出 延迟线分两步实现 : 1. 粗步长 : 可以相对于一个缓冲区, 将 DFSDM 输出缓冲区 ( 用于给定的麦克风 ) 移动给定数量的样本 ( 一个样本对应于 1/f datarate 的延迟, 其中,f datarate 表示输出数据速率 ( 典型音频速率 :44.1kHz 22.05kHz 16kHz...) 1 个样本的移位对应于声音在空气中的传播距离 s = v/f datarate (v 表示声音在空气中的速度 ~343m/s) 粗步长示例 : fdatarate = 44.1 khz:s = 343/44100 = ~7.8 mm fdatarate = 16 khz:s = 343/16000 = ~21.4 mm 2. 细步长 : 为了支持阵列中短距离排列的麦克风, 并且能够微调首选声源的角度, 需要更 精细的步长 移动麦克风和 DFSDM 之间的 1 位数据流上的样本, 而不是移动存储器中的 DFSDM 输出样本, 即可实现细步长 按照 PDM 频率, 对输入的 1 位样本进行采样 以下 是细步长示例 : f PDM = 3 MHz: s = 343ms-1 / Hz = ~0.11mm f PDM = 200 khz: s = 343ms-1 / Hz = ~1.7mm 这些值的范围也能够兼容于微型麦克风阵列, 用于对接收声音的首选角度进行微调 用于波束整形微调的 DFSDM 细步长电路不是基于延迟线, 而是跳过输入 1 位流的部分样本 来自串行收发器的部分 1 位样本被 ( 时钟信号门控 ) 屏蔽, 不会被发送至数字滤波器 基于 跳跃时钟脉冲的原理如图 30 所示 图 30. 用于波束整形的脉冲跳跃实现 DFSDM_DATIN DFSDM_CKIN MSv43894V1 38/56 AN4990 Rev 1 [English Rev 1]

39 AN4990 DFSDM 外设操作 图 31 提供了一个示例, 说明了脉冲跳跃机制及其与延迟线的相似性 图 31. 脉冲跳跃示例 (FOSR=8) 图 31 的顶部表示正常操作, 未应用时钟跳跃 每个输出样本均由 8 个输入样本构建 (FOSR = 8) 如要形成波束, 需要同时启动多个 DFSDM 滤波器 在图 31 的底部, 左声道跳过了样本 S10 S11 S12 滤波器( 配置 :FOSR = 8) 再等待 3 个样本, 以便为每个声道获得 8 个完整的 1 位样本, 从而能够构建一个输出样本 从滤波器输出的角度而言, 对于相同的最终输出样本索引, 右声道使用的 1 位输入样本比左声道的更早 如果右声道具有 3 样本延迟线 ( 延迟线缓冲区保持最后 3 个样本 ), 也会出现上述相同的行为 以上概述了波束整形算法 ( 简化视图 ) 可以实现更精细的算法, 提供更出色的性能 音频时钟支持 - 独立时钟操作 DFSDM 可以通过 DFSDM_CKOUT 引脚提供的时钟信号, 为外部 调制器提供时钟 此引脚的时钟频率决定了输入采样频率, 后者也取决于输出数据速率频率 可以选择以下一种时钟源, 用于驱动 DFSDM_CKOUT: DFSDM 时钟 : APB 时钟 系统时钟 ( 独立于 APB 时钟分频器 ) PLL 时钟 ( 用于 I2S 的音频 PLL) 将所选时钟源的频率除以 范围内的因数 ( 根据 DFSDM_CHyCFGR1 寄存器中的 CKOUTDIV 字段设置的预分频比 ), 得到 DFSDM_CKOUT 频率 AN4990 Rev 1 [English Rev 1] 39/56 55

40 DFSDM 外设操作 AN4990 为了对 DFSDM_CKOUT 频率进行微调, 必须选择 PLL( 同时提供乘法和除法因数 ) 作为时钟源 对于需要精确采样频率的音频应用而言, 这是最适当的选择 DFSDM 外设本身 ( 收发器 滤波器 附加功能 ) 使用 APB 时钟或系统时钟进行数字处理 该 处理 频率应比输入采样频率快 4 倍 ( 如果使用曼彻斯特编码, 则快 6 倍 ) 为了节省功耗, 采样频率和处理频率都应配置为应用所需的最小值 4.5 DFSDM 功耗优化 DFSDM 功耗取决于 : 使能的组件 : 使能的通道数 使能滤波器数量 使能的功能数量 ( 模拟看门狗 短路检测器 ) 时钟速度 : 收发器 数字滤波器 (+ 附加功能 ) 为了优化功耗, 仅在需要时使能所需的组件 ( 收发器 滤波器 附加功能 ), 应使用最小时钟速度来实现所需的输入和输出数据速率 睡眠模式下的功耗优化 DFSDM 可用于睡眠模式中 此时,DFSDM 通常用于监控模拟信号 ( 使能模拟看门狗时 ), 如果达到模拟阈值, 则用于唤醒 CPU 此类应用的示例包括婴儿监控或碎玻璃检测, 其中,CPU 几乎始终处于睡眠模式,DFSDM 通过模拟监视器来监控声级 如果达到声音阈值, 则 DFSDM 模拟看门狗中断唤醒 CPU, 并收集采集到的数据, 而 CPU 对 DFSDM 收集的数据进行分析 ( 以评估此数据是否为婴儿声音或碎玻璃声 ) 在睡眠模式期间,DFSDM 不需要收集数据, 只应打开模拟看门狗功能, 用于优化功耗 40/56 AN4990 Rev 1 [English Rev 1]

41 AN4990 DFSDM 外设操作 以下是用于低功耗操作 ( 声音检测 ) 的 DFSDM 和 MCU 配置示例 : 时钟 : 禁用除 DFSDM 之外的所有外设 以最低频率使用系统时钟, 用于执行 DFSDM 操作 ( 例如 :4 MHz, 使用内部 MSI 振荡器, 旨在减少更多功耗 ) 将 AHB 和 APB 时钟降至最低, 因为在睡眠模式下无需访问外设 使用高 AHB 和 APB 预分频器 ( 例如 :AHB 预分频器 = 512,AHB 时钟 = 4 MHz/512 = 7.8 khz) DFSDM 使用系统时钟 ( 例如 :4 MHz), 这是因为, 在数字滤波器处理中,APB 时钟太慢 数字 MEMS 麦克风的采样时钟应小于 DFSDM 时钟 / 4( 例如 : 麦克风操作适合使用 1 MHz) DFSDM: 配置滤波器, 获得适当的输出数据速率 ( 例如 :16 khz) 设置模拟看门狗, 用于监控输出数据, 设置适当的声音检测阈值 禁用最终数据的溢出错误, 因为该数据不是从 DFSDM 收集的 ( 仅模拟看门狗处于工作模式 ) 唤醒后 ( 模拟看门狗中断 ): 设置回 AHB APB 时钟 ( 预分频器 ), 用于执行高速操作, 加速 DFSDM 通信和数据传输 ( 例如 :AHB 预分频器 = 1,AHB 时钟 = 4 MHz) 可选择重新配置 DFSDM, 提高输出数据速率, 从而实现更出色的声音识别分析 ( 例如 :44.1 khz) 启动从 DFSDM 至存储器缓冲区的数据收集 ( 例如 :DMA 数据传输 ) 对每个数据缓冲区执行声音识别 ( 例如 :FFT 分析 ) 如果检测到关键声音, 请执行必要的操作 ( 调用警报 ) 如果声音不重要( 或者在一段时间后并未检测到此声音 ), 则再次进入睡眠操作, 等待模拟看门狗再一次唤醒 随着上述 DFSDM 情境的持续,( 由于 DFSDM 活动而导致的 ) 微控制器功耗的增加因数被限制在 2 倍左右 ( 相对于没有任何 DFSDM 活动 且具有相同系统时钟频率的严格睡眠模式下的功耗 ) AN4990 Rev 1 [English Rev 1] 41/56 55

42 DFSDM 外设配置教程 AN DFSDM 外设配置教程 DFSDM 功能类似于具有外部模拟前端部件的 A/D 转换器 DFSDM 具有极大的灵活性, 但需要一些方法才能在所有设置之间切换 以下教程帮助用户根据 A/D 转换的应用程序要求来配置 DFSDM 5.1 配置介绍 A/D 转换的基本应用参数是 : 输入模拟范围 ( 调制器属性 ) 最小输出数据速率 数据速率精度设置 最小输出数据分辨率 收集的通道数 ( 每个 ADC) 附加功能 : 模拟看门狗 短路检测器 数据传输类型 :DMA 中断 轮询 影响 DFSDM 配置的应用程序相关属性 : 系统时钟范围 电源电压 功耗限制 采用的 转换器 ( 或数字麦克风 ) 属性 : 时钟速度范围 输入模拟范围 调制器的阶数 在以下章节中, 本教程将介绍如何配置各种 DFSDM 部件, 以满足应用程序的要求 5.2 时钟配置 根据数据要求, 指导 DFSDM 时钟的选择 : 最小输出数据速率 数据速率精度 最小输出数据分辨率我们的目标是设置滤波器参数和输入采样时钟速率, 以达到输出数据速率 输入采样时钟选择由 调制器 ( 或数字麦克风 ) 的特性驱动 : 请参见下文表 2, 了解适用于两种不同应用的时钟配置示例 42/56 AN4990 Rev 1 [English Rev 1]

43 AN4990 DFSDM 外设配置教程 5.3 收发器 串行收发器配置 串行收发器将输入引脚 (DFSDM_DATIN 和 DFSDM_CKIN) 上的数据进行转换, 并提供给滤波器 收发器的配置取决于连接到 DFSDM( 调制器或数字麦克风 ) 的外部设备的特性 下文表 2 介绍了适用于两种不同应用的 DFSDM 配置示例 : 应用 1: 应用 : 温度测量, 包括测量 Pt100 传感器上的电压和电流, 并确定 Pt100 传感器电阻 / 温度 计时器每 1 秒触发一次温度测量 外部设备 : 双外部 调制器 STPMS2, 用于电压和电流通道测量 物理连接 : STPMS2 仅使用一条 SPI 线 ( 引脚 :CLK DAT) STPMS2 CLK 引脚由 DFSDM_CKOUT 引脚驱动 STPMS2 DAT 引脚连接到 DFSDM_DATIN1( 通道 1 引脚上的串行数据输入, 通道 0 输入重定向到通道 1 引脚, 而后,DATIN1 上的信号连接到通道 0 和通道 1) 不使用 DFSDM_CKIN1 引脚 ( 通道 1 上的串行时钟输入 ) 使用来自 CKOUT 引脚的内部重定向时钟对数据进行采样 (SPICKSEL [1:0] = 1) 后期处理 : 来自通道 0(U, 电压 ) 的数据由滤波器 0 处理 来自通道 1(I, 电流 ) 的数据由滤波器 1 处理 Pt100 传感器的电阻在固件中确定为 R = U/I, 用于推断 Pt100 传感器温度 应用 2: 应用 : 在 48 khz 数据速率下录音 外部设备 :MEMS 数字麦克风 MP34DT01-M 物理连接 : MP34DT01-M 有一条数据线 ( 引脚 :CLK DOUT) MP34DT01-M CLK 引脚由 DFSDM_CKOUT 驱动 MP34DT01-M DOUT 引脚连接到 DFSDM_DATIN0( 通道 0 上的串行数据输入 ) 后期处理 : 来自通道 0( 麦克风 ) 的数据由滤波器 0 处理 DMA 以连续模式, 将数据速率为 48 khz 的输出流存储在 RAM 缓冲区中 AN4990 Rev 1 [English Rev 1] 43/56 55

44 44/56 AN4990 Rev 1 [English Rev 1] 表 2. DFSDM 应用示例 参数应用 1: 温度测量应用 2: 音频采样 说明选项设置 (1) 注释设置 (1) 注释 串行协议选择 (SITP[1:0]) 主时钟 有效采样沿 时钟源 输入时钟频率 通过一条串行线路发送的数据流数量 时钟精度 SPI 类型 x STPMS2 使用 SPI 通信 x MP34DT01-M 使用 SPI 类型协议 曼彻斯特类型 DFSDM (2) x STPMS2 具有时钟输入引脚 x MP34DT01-M 需要时钟输入 外部器件 (3) 上升沿 - 电流流在上升沿有效 x MP34DT01-M 的引脚 L/R 处于 GND 电平 - 上升沿有效 下降沿 - 电压流在下降沿有效 - - APB 时钟系统时钟 PLL 时钟 系统时钟 (f HSI16 ) f CKIN 要求 2 MHz (4) PLL 时钟 f CKIN /f CKOUT 2 MHz f CKIN 配置 : f CKIN = f HSI16 /(CKOUTDIV + 1), 其中 f HSI16 = 16 MHz CKOUTDIV = MHz 一个流 (6) - 两个流 (7) x 精度低 x STPMS2 也支持一个流, 但需要额外一条线 / 一个引脚 本例中, 电流和电压信号共用一条线 只需要一个引脚 / 电线 无需精确时钟 - 定时器每秒触发一次温度测量 基于 DFSDM 时钟 (16MHz) 的时钟信号 : CKOUTSRC = 0 x f CKIN 要求 MHz (5) f CKIN 配置 : f CKIN = f HSE * N /(Q *(CKOUTDIV + 1)), 其中 f HSE = 16 MHz( 晶体 - 精确时钟 ) N =48 Q = 2 CKOUTDIV = 124 使用单声道麦克风 高精度 - - x 要求精确的 48 khz 数据速率 ( 音频标准 ) 1. 'x'= 有效设置,' - '= 无效设置 2. 设备需要外部时钟 ( 时钟输入到设备 ) 3. 设备使用内部时钟 ( 由设备输出的时钟 ) 4. STPMS2 时钟输入范围 :1 至 MHz 5. f CKIN = 数据速率 * FOSR * IOSR, 其中, 数据速率 = 48 khz,fosr = 64, 连续模式 DFSDM 外设配置教程 AN4990

45 AN4990 DFSDM 外设配置教程 6. 发送一个流 ( 仅一条采样沿有效 ) 7. 发送两个流 ( 第一个流的数据在上升时钟沿有效, 第二个流的数据在下降时钟沿有效 ) AN4990 Rev 1 [English Rev 1] 45/56

46 DFSDM 外设配置教程 AN 并行收发器 并行收发器是一种替代方案, 它直接从内部微控制器数据源为数字滤波器提供 16 位宽数据 并行收发器包含一组 32 位 (2x16 位 ) 并行输入寄存器, 用于为数字滤波器供电 每个串行输入通道都有一个 32 位并行输入寄存器 ( 分为 2x16 位 ) DFSDM_CHyCFGR1 寄存器的 DATMPX[1:0] 位允许从串行输入或 16 位并行输入中选择滤波器输入 如果 DATMPX[1:0] = 0, 则数据来自串行收发器 ( 作为 1 位串行流 ), 否则数据来自并行收发器 ( 作为 16 位带符号的数据 ) 数据可以由 CPU DMA (DATMPX[1:0]=2) 或 ADC(DATMPX[1:0]=1) 写入并行寄存器 根据嵌入式 ADC 功能, 部分微控制器在 ADC 和并行输入寄存器之间具有专用的快速内部 16 位总线 每个通道均配备 32 位并行寄存器, 此寄存器被分为两个 16 位寄存器 这样一来, 可以对这些寄存器执行 32 位访问, 并在每次写访问时写入 2 个输入样本 根据 DATPACK[1:0] 字段定义的所选 数据打包工作模式, 可在 32 位寄存器中使用高 16 位和低 16 位样本 : 标准模式 : 仅使用低 16 位样本 交替模式 : 两个样本用于相同的通道 ( 如同具有 2 个样本的 FIFO 缓冲区 ) 双重模式 : 低 16 位样本用作给定通道的输入, 而高 16 位样本用作下一个通道的输入 将一个 16 位样本写入并行输入寄存器, 自动为数字滤波器生成一个采样时钟信号, 而后, 该信号自动对并行输入寄存器进行采样, 作为下一个待处理的输入 因此, 最终输出数据速率取决于输入数据速率 (CPU 或 DMA 或 ADC 数据传输速度 ) 46/56 AN4990 Rev 1 [English Rev 1]

47 AN4990 DFSDM 外设配置教程 并行收发器允许在没有 CPU 干预的情况下执行快速低通滤波 以下介绍 3 个实际示例 : ADC 样本的后期处理 ADC 用作数据源 ADC 配置为与 DFSDM 一起使用 DFSMD 配置流程如下 : 从 ADC 中选择并行输入 :DATMPX[1:0]=1 ADC 通过 16 位内部总线, 直接将其输出数据发送到并行寄存器 ( 仅使用低 16 位部件 ) 在 ADC 数据输入的情况下, 执行数据打包并无任何意义, 因此, 建议设置 DATPACK[1:0]=0 DFSDM 根据滤波器参数 (FOSR FORD IOSR) 的设置处理数据, 然后以较低的数据速率产生最终的输出数据样本 示例 : 对 ADC 的数据取平均值 对存储在 RAM 存储器缓冲区的数据执行后期处理 ( 例如, 软件高通滤波后, 对 ADC 数据执行低通滤波 ) 从并行输入寄存器中选择并行输入 :DATMPX[1:0]=2 CPU 可以从缓冲区直接将数据写入并行寄存器 或者,DMA 可用于将数据加载到并行输入寄存器中 应根据应用优化数据打包 : 如果数据以 16 位格式存储在缓冲区中, 则可以使用 DATPACK[1:0]=2 设置来提高性能 此时, 可以在一次 32 位传输 (FIFO 缓冲区 ) 中写入 2 个连续采样 如果数据以 16 位格式存储在缓冲区中, 且每个第二个样本均来自于另一个数据源 ( 例如, 对应于音频 L 通道的奇数样本和对应于音频 R 通道的偶数样本 ), 则可以使用 DATPACK[1:0]=3 设置来提高性能 此时, 可以在一次 32 位传输中写入一个样本对 ( 将 2 个样本写入 2 个不同通道的并行输入寄存器 ) 对来自另一个通信外设的数据进行后期处理 : 模拟数据从外设直接传输到给定的并行输入寄存器 (DMA), 并由 DFSDM 处理, 以生成最终的滤波样本 例如, 外部 ADC 可以连接到微控制器 SPI 接口,DFSDM 用于低通滤波 此时,DFSDM 的设置与第二种情况相似 5.4 滤波器 Sinc 滤波器 数字滤波器对串行或并行收发器接收的数据执行数字信号处理 数据可以是 1 位格式 ( 串行收发器 ) 或 16 位带符号的整数格式 ( 并行收发器 ) 收发器接收的每个样本均由数字滤波器自动采样, 以便于后期处理 根据数字滤波器配置参数, 滤波器可能需要若干个输入样本才能提供第一个输出数据 AN4990 Rev 1 [English Rev 1] 47/56 55

48 DFSDM 外设配置教程 AN4990 在 DFSDM 中实现的滤波器是 Sinc 类型的低通滤波器 ( 亦称为梳状滤波器 ) 该滤波器的基本参数如下 : FOSR: 滤波器过采样率 - 定义在滑动平均中同时处理的样本数量 FOSR 范围是 FORD: 滤波阶数 - 定义滑动平均的迭代次数 FORD 范围是 1..5 滤波器的配置取决于应用程序要求, 详细内容将在接下来的 4 个小节中讨论 : 低通滤波特性 滤波器传输频率特性对于应用而言至关重要 图 32:Sinc 滤波器频率特性形状提供当 FORD = 1 3 和 5 时, 以及当固定 FOSR = 8 时,Sinc 滤波器的频率特性 ( 图 19 介绍了更常见的图 ) 图 32 中的幅度响应曲线表示在滤波器通带之外, 应用于信号高频分量的抑制量 ( 对于 FORD = 1, 抑制量约 -20dB, 对于 FORD = 5, 抑制量约 -100dB) 滤波器阶数越高, 高频抑制效果越好 ( 低通滤波器 ) 使用高滤波器阶数可能会影响有用滤波器通带内的信号 可参见图 32 的 通带缩放 曲线 在通带内, 滤波器不平坦, 而是会产生轻微衰减, 随着频率和滤波阶数的增加而增加 根据模拟输入信号的有用频率带宽, 这可能会影响滤波信号的质量 如有必要, 可在最终输出数据上, 应用软件补偿滤波器, 来补偿这种衰减 陷波频率是指当信号衰减达到最大值时的离散频率 ( 参见图 32:Sinc 滤波器频率特性形状 ) Sinc 滤波器的第一个陷波频率 ( 及其所有谐波 ) 与 FORD 无关, 仅取决于采样时钟和选定的 FOSR:f notch = f sampling /FOSR 降低 FOSR 会导致陷波频率之间的步长增大 在一些应用中, 人们可以利用陷波频率来更好地抑制噪声源, 并将能量集中在特定频率 例如, 如果应用场合对 50 Hz 电源频率及其谐波敏感, 建议调整滤波器, 使第一个陷波频率等于 50 Hz 利用这种配置和滤波器调谐, 可以在相对高噪声的环境中检测到非常低的信号 图 32. Sinc 滤波器频率特性形状 db f/f s FORD=1 FORD=3 FORD=5 db f/fs FORD=1 FORD=3 FORD=5 MSv43887V1 48/56 AN4990 Rev 1 [English Rev 1]

49 AN4990 DFSDM 外设配置教程 输出数据分辨率 Sinc 滤波器操作 ( 滑动平均 ) 的结果是增加采样信号的分辨率 ( 以 FOSR 为因数增加 ) 多重平均可以进一步提高分辨率 随后得到的输出信号总分辨率 ( 以 LSB 为单位 ) 为 : 分辨率 out = 分辨率 in * FOSRFORD 分辨率 in 是指输入数据的分辨率 ( 在串行数据输入的情况下为 2, 在并行数据输入的情况下更宽, 例如, 在 12 位并行输入时为 4096) 务必留意, 不能将分辨率增加超过 32 位, 因为滤波器以 32 位分辨率执行所有内部操作 输出数据频率 Sinc 滤波器操作 ( 滑动平均 ) 会相对于输入采样率降低输出数据速率 连续信号转换的输出数据速率仅取决于 FOSR: 数据速率 out = f sampling / FOSR ( 等于第一个 f notch 频率 ) 由于数据速率 out 仅取决于 FOSR, 而分辨率 out 取决于 FOSR 和 FORD, 因此建议首先调整 FOSR 以达到所需的输出数据速率, 然后调整 FORD 以达到所需的输出分辨率 延迟时间 延迟表示从启动滤波器 ( 具有有效输入样本 ) 到得到第一个有效输出样本之间经过的时间 在启动滤波器 ( 第一个样本 ) 之后以及恢复滤波器处理之后 ( 如果输入样本不连续, 且使用触发器重新启动转换 ), 必须考虑延迟 滤波器包含定时逻辑链 ( 加法器和寄存器, 滑动平均原理 ), 需要使用先前输入样本的有效数据进行初始化 如果输入样本流程已停止, 输入数据的历史记录丢失, 则必须等待滤波器逻辑重新填充有效数据, 输出数据才会再次变得有意义 滤波器延迟由下式给出 : T latency = T sampling * [(FOSR*FORD) + (FORD+1)] 注 : 理论上, 最小延迟是 T latency = T sampling *(FOSR * FORD), 但由于滤波器的实现和优化, 需要更多的周期, 请参见公式中的术语 (FORD + 1) 模拟 积分器 [TUTORIAL] 中的数字滤波器模拟允许 : 定义输入信号 : 带符号的 1 位数据流或带符号的 16 位数据流 配置滤波器参数 (FOSR FORD 和 IOSR) 计算最终输出信号并验证滤波器参数的影响 图 18: 滤波模拟提供了一个模拟结果示例 积分器具有一个配置参数, 即 IOSR( 积分器过采样率 ) 积分器处理是指从滤波器输出中累加 ( 求和 )IOSR 连续样本 此操作还会降低输出数据速率 ( 以 FOSR 为因数降低 ) AN4990 Rev 1 [English Rev 1] 49/56 55

50 DFSDM 外设配置教程 AN4990 设置 IOSR 需要考虑的要求是 : 所需的输出数据速率 从滤波器生成一个积分器输出样本所需的样本数 从 [TUTORIAL] 提取模拟结果的示例请参见图 18: 滤波模拟 5.5 模拟看门狗 嵌入在 DFSDM 外设内的模拟看门狗的配置参数请参见表 3 表 3. DFSDM 模拟看门狗参数 参数 AWLT AWHT AWFSEL 模拟看门狗低阈值 有符号的 24 位格式 模拟看门狗高阈值 有符号的 24 位格式 说明 模拟看门狗快速模式选择 0: 模拟看门狗监控输出最终的 24 位数据 ( 在数字滤波器之后 ) 1: 模拟看门狗根据输入的 1 位串行数据样本观察数据 (1) 1. 当 AWFSEL=1 时, 串行输入数据由专用模拟看门狗滤波器滤波, 该滤波器的配置应与主数字滤波器相同 : - AWFORD: 模拟看门狗滤波阶数 - AWFOSR = 模拟看门狗过采样率由于减少了模拟看门狗滤波器选项 (AWFOSR = ,AWFORD = ), 此滤波器信号的最大分辨率为 16 位 此时, 高 (AWHT[23:0]) 和低 (AWLT[23:0]) 阈值电平的分辨率限制为高 16 位 (AWHT[23:8], AWLT[23:8]) 每个输入通道都配有自身的比较器, 用于比较模拟看门狗数据 ( 来自模拟看门狗滤波器 ) 和模拟看门狗阈值 (AWHT/AWLT) 当选择多个通道(DFSDM_FLTxCR2 寄存器中的 AWDCH[]) 时, 可以同时接收多个比较请求 在这种情况下, 首先管理编号最小的通道请求, 然后继续管理编号较大的所选通道 可以使用不同的标志记录每个通道的结果 (DFSDM_FLTxAWSR 寄存器中的 AWHTF[], AWLTF[]) 一次比较需要 1 个 DFSDM 时钟周期 为了能够比较所有选定的通道, 用户必须根据输入采样时钟速度和 DFSDM 频率正确配置观察通道数和模拟看门狗滤波器参数 DFSDM 模拟看门狗可以产生中断和刹车信号 在软件干预下, 中断可用于触发 CPU 刹车信号 (BKAWH[3:0]) 用于与其他外设的快速硬件交互 ( 例如, 在电机应用中, 通过停止定时器, 停止其产生 PWM) 5.6 短路检测器 每个通道都有一个短路检测器, 可通过 SCDEN 位使能 使用 SCDT[7:0] 为每个通道配置短路检测器阈值 ( 范围 0-255) 50/56 AN4990 Rev 1 [English Rev 1]

51 AN4990 DFSDM 外设配置教程 短路检测器具有向上计数的计数器, 可统计串行数据接收器输出上连续的 0 或 1 每次在数据流中检测到逻辑转换 ( 从 1 到 0 或从 0 到 1) 时, 计数器都会重新启动 如果计数器达到 SCDT[7:0] 中存储的值, 则调用短路事件 以下 2 个小节将介绍短路检测器功能的使用类型 真正的短路检测 此时, 我们的目标是, 在超过允许的溢出状态持续时间的时间段内, 检测 DFSDM 输入信号处于溢出状态的情况 ( 连续超过外部 调制器满量程范围规范 ) 根据应用要求, 短路状态的最大持续时间定义为 t shortmax 将此时间转换为连续 0 或 1 的最大数量, 以配置 SCDT[7:0]: SCDT[7:0] = t shortmax f sampling 每当短路检测器在 SCDT[7:0] 位期间检测到输入信号稳定时, 将报告错误 ( 输入信号在最大允许时间内处于饱和状态 ) 短路检测处理 有两种方法可处理短路检测事件 : 软件中断产生 微控制器应用软件 ( 中断程序 ) 应对给定的短路事件执行所需的操作 ( 反馈 )( 例如, 在电机控制应用中停止 PWM 生成 ) 刹车信号 可以在没有任何软件干预的情况下, 将通过 BKSCD[3:0] 字段配置的刹车信号传输到其他外设 ( 例如, 刹车信号可用于控制定时器外设, 在电机控制应用中停止 PWM 生成 ) 5.7 脉冲跳跃 DFSDM 可用于波束整形应用, 其中, 可根据入射角与传感器阵列选择输入信号源的位置 该原理如第 节 : 支持波束整形中所述 波束整形通常与音频应用中的数字麦克风阵列结合使用 在配置用于波束整形的 DFSDM 时, 需要计算应用于阵列中各个麦克风的相应延迟 ( 参见图 29: 波束整形原理 ) 根据首选的接收角度和输入采样频率, 计算每个麦克风的延迟, 并将其转换为串行输入信号采样频率的多个时钟脉冲 在配置延迟之前, 必须同步开始接收从相应 DFSDM 通道到每个麦克风的输入位流, 这可以通过 DFSDM 全局使能信号 (DFSDMEN 1) = 来处理 在开始 DFSDM 转换后, 必须在每个通道的每个相应 PLSSKP[5:0] 字段中, 对计算得到的每个麦克风通道的延迟进行一次编程 配置 PLSSKP[5:0] 字段后, 软件应回读 PLSSKP[5:0] 字段, 检查数值是否已复位为 0, 才能确定已按所需延迟处理 DFSDM 的最终输出数据 AN4990 Rev 1 [English Rev 1] 51/56 55

52 DFSDM 外设配置教程 AN4990 在初始配置之后, 可以在不停止音频数据接收的情况下再次更新延迟, 只需累加已经编程的延迟即可 软件必须记住连续写入每个麦克风通道的每个 PLSSKP[5:0] 字段, 并将所有连续写入的累积值视作有效应用的延迟 示例 : 假设已经同步启动了 3 个麦克风通道, 且使用与首选角度 φ 相应的延迟值 0 5 和 10 对 PLSSKP[5:0] 字段进行编程 如果首选角度更改为 -φ, 则应使用相应的值 , 在 PLSSKP[5:0] 字段中执行第二次写入, 得到对应通道 的累积总延迟 ( 这是确定首选角度的延迟之间的差值, 而不是延迟绝对值 ) 如果 DFSDM 停止 ( 来自麦克风的所有连续流都停止 ), 则在新的 DFSDM 同步启动 (DFSDMEN = 1) 时, 所有麦克风的累积延迟将复位为零 5.8 使用 [TUTORIAL] 进行配置 [TUTORIAL] 提供了一个可配置的模拟器, 它基于本应用笔记中的原理和公式, 以及 DFSDM 外设的模型 为了便于解释, 此处假设 DFSDM 模拟器的接口是标准 ADC 用户可以根据特定的模数转换应用来配置输入信号的特性和 DFSDM 参数 ( 采样频率 模式选择 滤波器参数...) 用户可以将输入参数收敛到预期的最终转换属性 ( 输出数据速率和分辨率 ), 或使用模拟器来验证预定义配置 第二种方法 ( 更具确定性 ) 涉及初步分析, 包括借助本应用笔记, 将应用要求转换为连接至 DFSDM 架构的数字信号处理场景 分析并非总是直截了当, 用户有时可能需要考虑和权衡 ( 如分辨率与数据速率 ) 52/56 AN4990 Rev 1 [English Rev 1]

53 AN4990 DFSDM 外设配置教程 图 33. [TUTORIAL] 中的 DFSDM 配置 AN4990 Rev 1 [English Rev 1] 53/56 55

54 结论 AN 结论 DFSDM 外设嵌入了先进 ( 有时复杂 ) 的功能, 这些功能在涉及模数转换的多种应用中非常实用和有益 本文档介绍了 DFSDM 的多种不同应用领域, 使用此外设的优势和配置示例, 以及指南 [TUTORIAL] 允许快速试验各种 DFSDM 参数的影响, 并验证软件架构选择和相关配置是否能够满足应用要求 理解 DFSDM 处理的基本原理以及如何使用适当的工具和方法实现这些原理 ( 本文档的目标 ) 是确保成功实现基于 DFSDM 应用的关键因素 54/56 AN4990 Rev 1 [English Rev 1]

55 AN4990 版本历史 7 版本历史 表 4. 文档版本历史 日期版本变更 2018 年 3 月 30 日 1 初始版本 表 5. 中文文档版本历史 日期版本变更 2018 年 11 月 21 日 1 中文初始版本 AN4990 Rev 1 [English Rev 1] 55/56 55

56 AN4990 重要通知 - 请仔细阅读 意法半导体公司及其子公司 ( ST ) 保留随时对 ST 产品和 / 或本文档进行变更 更正 增强 修改和改进的权利, 恕不另行通知 买方在订货之前应获取关于 ST 产品的最新信息 ST 产品的销售依照订单确认时的相关 ST 销售条款 买方自行负责对 ST 产品的选择和使用, ST 概不承担与应用协助或买方产品设计相关的任何责任 ST 不对任何知识产权进行任何明示或默示的授权或许可 转售的 ST 产品如有不同于此处提供的信息的规定, 将导致 ST 针对该产品授予的任何保证失效 ST 和 ST 徽标是 ST 的商标 所有其他产品或服务名称均为其各自所有者的财产 本文档中的信息取代本文档所有早期版本中提供的信息 本文档的中文版本为英文版本的翻译件, 仅供参考之用 ; 若中文版本与英文版本有任何冲突或不一致, 则以英文版本为准 2018 STMicroelectronics - 保留所有权利 56/56 AN4990 Rev 1 [English Rev 1]

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

STM32 PDM

STM32  PDM 应用笔记 STM32 微控制器上的 PDM 音频软件解码 1 简介 本应用笔记以 ST MP45DT02 MEMS 麦克风与 STM32 微控制器的连接为例, 介绍了 PDM 信号解码和音频信号重建时使用的软件优化算法和架构 该软件可直接采集麦克风输出的脉冲密度调制 (PDM) 数据, 并将其转换为 16 位脉冲编码调制 (PCM) 格式 本文档还提供了快速入门信息, 介绍如何通过基于 STM32F4

More information

STM32Cube_FW_F4?RTC??????BUG

STM32Cube_FW_F4?RTC??????BUG STM32Cube_FW_F4 中 RTC_Calendar 例程的 BUG 前言 实时时钟 (RTC) 是一个独立的 BCD 定时器 / 计数器, 用来提供准确的日历和时间信息 准确性是其重要的指标 问题 某客户在其产品的设计中, 使用了 STM32F429IIT6 客户在使用过程发现一个问题, 虽然已经有使用电池对 VBAT 进行供电, 但是在经常频繁的 VDD 上下电之后, 发现时钟会比准确的时间慢几秒钟

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

第四章 102 图 4唱16 基于图像渲染的理论基础 三张拍摄图像以及它们投影到球面上生成的球面图像 拼图的圆心是相同的 而拼图是由球面图像上的弧线图像组成的 因此我 们称之为同心球拼图 如图 4唱18 所示 这些拼图中半径最大的是圆 Ck 最小的是圆 C0 设圆 Ck 的半径为 r 虚拟相机水平视域为 θ 有 r R sin θ 2 4畅11 由此可见 构造同心球拼图的过程实际上就是对投影图像中的弧线图像

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

长 安 大 学 硕 士 学 位 论 文 基 于 数 据 仓 库 和 数 据 挖 掘 的 行 为 分 析 研 究 姓 名 : 杨 雅 薇 申 请 学 位 级 别 : 硕 士 专 业 : 计 算 机 软 件 与 理 论 指 导 教 师 : 张 卫 钢 20100530 长安大学硕士学位论文 3 1 3系统架构设计 行为分析数据仓库的应用模型由四部分组成 如图3 3所示

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

李俊新 崔 敏 刘艳春 姚艳君 周广芬 孙 宝 河北科技大学理学院 河北石家庄 滦南县职业教育中心基础部 河北滦南 在物理化学实验的基础上 对一级反应的 种不同数据处理模型进行比较和分析 通过对 实验数据处理模型进行系统的比较 来改善传统实验数据处理中存在的一些问题 从而简化数据处 理 减小作图工作量与作图误差 提升实验水平 提高数据处理结果的准确性 一级反应 数据处理模型 过氧化氢 图 过氧化氢分解实验装置图

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

任春平 邹志利 在坡度为 的平面斜坡上进行了单向不规则波的沿岸流不稳定运动实验 观测到了沿 岸流的周期性波动 波动周期约为 利用最大熵方法和三角函数回归法求得这种波动的主 频率以及幅值 分析了波动幅值在垂直岸线方向的变化 结果表明该变化与沿岸流变化类似 即在 沿岸流最大值附近这种波动强度最大 为了分析波动的机理 利用线性沿岸流不稳定模型对模型实验结果进行了分析 求得了不稳定运动增长模式和波动周期 并与对应实测结果进行了比较

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

第 期 房建成等 动态定位的强跟踪卡尔曼滤波研究

第 期 房建成等 动态定位的强跟踪卡尔曼滤波研究 第 卷第 期 年 月 东南大学学报 房建成万德钧吴秋平 东南大学仪器科学与工程系 南京 提出一种改进的强跟踪卡尔曼滤波算法 应用于 动态定位滤波中获得明显效果 首先采用描述机动载体运动的 当前 统计模型 建立了一种新的 动态定位扩展卡尔曼滤波模型及其自适应算法 然后 为了进一步提高滤波器的动态性能 改进了周东华等提出的强跟踪滤波器 大大提高了 动态定位扩展卡尔曼滤波器的跟踪能力 动态定位 卡尔曼滤波

More information

STM32F3??ADC??????????ADC

STM32F3??ADC??????????ADC STM32F30x 禁止 ADC 已关闭情况下再次关闭 ADC 前言 STM32F30x 系列的 12 位 SAR ADC 有很多鲜明的特色性能, 比如采样率可以达到 5 MSPS, 可支持差分输入, 等等 但是, 由于设计的不同, 在使用上也有不少不太一样的地方, 我们在使用 STM32F30x 的 ADC 外设的时候, 还是要仔细了解一些使用的细节 问题 某客户在其产品的设计中, 使用了 STM32F302CCT6

More information

Microsoft Word - A doc

Microsoft Word - A doc 基于 TMS320VC5416 的 FIR 数字滤波器设计与实现 黄道斌, 朱同武汉理工大学信息工程学院, 武汉 (430070) E-mail:huangdaobin@126.com 摘要 : 数字滤波器在语音处理 图象处理 模式识别以及各种随机信号分析中有着广泛的应用 本文研究了有限冲击响应 (FIR) 滤波器的基本原理以及如何在 TMS320VC5416 DSP 芯片上设计实现连续 FIR 数字滤波器

More information

< Essential LEDtube > | < Philips >

< Essential LEDtube > | < Philips > Lighting Essential LEDtube - Affrdable LED slutin Essential LEDtube Essential LEDtube is an affrdable LED tube that is suitable fr replacing T8 flurescent lamps. The prduct prvides a natural lighting effect

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 9 章 温度检测功能 1. 内容 9-2 2. 功能 9-2 3. 温度二极管电压的特性 9-2 4. 使用 ADI-ADuM4138 时的温度检测功能 9-3 5. 使用 ADI-ADuM4138 时的温度检测补偿方法 9-3 Co., Ltd. All rights reserved. 9-1 V F (V) V F (V) 1. 内容 在此对温度二极管功能进行说明 同时对实际使用 ADI-ADuM4138

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

STM32 USART

STM32 USART 应用笔记 STM32 USART 自动波特率检测 前言 正确的 USART 通信要求发送和接收波特率的匹配度足够高, 否则可能发生通信错误 当在两个设备之间建立通信链路时, 自动波特率检测十分有用, 因为从设备能够检测到主控制器的波特率并进行相应的自我调整 这需要使用一种自动机制来确定波特率 某些 STM32 器件中内置的 USART 外设提供许多功能, 包括硬件自动波特率检测 本应用笔记旨在介绍

More information

重点产品技术性贸易措施研究报告-音视频产品

重点产品技术性贸易措施研究报告-音视频产品 --------------------------------------------------------------- ------------------------------------------------------ --------------------------------------------------------- -------------------------------------------

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

Microsoft PowerPoint - adc(2)_2016_08.ppt [兼容模式]

Microsoft PowerPoint - adc(2)_2016_08.ppt [兼容模式] 模数转换器 中国科学技术大学精密机械与精密仪器系 1 参考书目 : 马明建, 周长城, 数据采集与处理技术,1998 年 8 月, 西安交通大学, 西安 卢文祥 杜润生, 机械工程测试 信息 信号分析,1989, 华中理工大学出版社, 武汉 佟德纯, 工程信号处理及应用, 1989, 上海交通大学出版社, 上海 模数转换器李为民 2 第二节 模数转换器的分类 按转换信号的关系分类 按转换电路结构和工作原理分类

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

STM32F37x/38x SDADC Sigma-Delta ADC

STM32F37x/38x SDADC Sigma-Delta ADC 应用笔记 STM32F37x/38x SDADC (Sigma-Delta ADC) 入门 前言 STM32F37x/38x 系列微控制器整合了带有 DSP 与 FPU 指令 工作频率为 72 MHz 的 32 位 ARM Cortex-M4 内核和高级模拟外设 此系列是 Cortex-M4 内核和精确 16 位 Sigma-Delta ADC 的结合 本文概述了 SDADC 的主要特性, 并演示了怎样在各种应用案例中使用

More information

ChinaBI企业会员服务- BI企业

ChinaBI企业会员服务- BI企业 商业智能 (BI) 开源工具 Pentaho BisDemo 介绍及操作说明 联系人 : 杜号权苏州百咨信息技术有限公司电话 : 0512-62861389 手机 :18616571230 QQ:37971343 E-mail:du.haoquan@bizintelsolutions.com 权限控制管理 : 权限控制管理包括 : 浏览权限和数据权限 ( 权限部分两个角色 :ceo,usa; 两个用户

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

24位模数转换器

24位模数转换器 24 位高精度低电压模数转换器 特征 : 24 位分辨率 ( 无失码 ) 20.7 位有效位 (ENBO 128 倍放大 10Hz 输出 3.0V) 18.2 位不动码 (ENBO 128 倍放大 10Hz 输出 3.0V) 内部集成可编程增益 : 2 4 8 16 32 128 256 1024 2048 倍 输出码率可选 (Hz): 0.625 1.25 2.5 5 10 20( 默认 ) 40

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

TIA 222 G.pdf

TIA 222 G.pdf TIA 222 G.pdf ->>> http://shurll.com/73ien 1 / 5 2 / 5 fx,,,,-,,,,automate,,,,rfq,,,,management,,,,for,,,,1/tia-222-e...catal... 2012 年 4 月 26 日 - GigOptix 日前宣布其为 10 0Gb/s,,,,DWDM 光接收器设计的 TIA 芯片 GX3222B

More information

赵燕菁 #!!!

赵燕菁 #!!! 赵燕菁 城市规划在灾后重建中对于工程技术的关注 很容易掩盖城市灾后重建中看不见的制度因素!!! 产权 城市最基本的制度 原型 # 就是公共产品交易的存在 城市 发达 # 与否 取决于公共产品提供的范围和水平 现代城市和传统城市的最大差别 就是可以以信用的方式 抵押未来的收益 获得公共产品建设所需要的原始资本 市场经济与计划经济最大的差别 就在于高度复杂的产权制度 因此 未来灾区规划中 产权的恢复和重建

More information

论文,,, ( &, ), 1 ( -, : - ), ; (, ), ; ;, ( &, ),,,,,, (, ),,,, (, ) (, ),,, :. : ( ), ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ), ( ),,,, 1 原译作 修补者, 但在英译版本中, 被译作

论文,,, ( &, ), 1 ( -, : - ), ; (, ), ; ;, ( &, ),,,,,, (, ),,,, (, ) (, ),,, :. : ( ), ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ), ( ),,,, 1 原译作 修补者, 但在英译版本中, 被译作 * 夏传玲 : 本文简要回顾了国内外定性研究在最近 多年的发展概况, 总结 了定性研究的六个发展趋势和分析策略上的三种流派 在上述两种背景下, 本文探讨了计算机辅助的定性分析给定性研究带来的机遇和挑战, 特别是它和手工操作对比时的优势和劣势, 以及应用这种定性分析技术所可能面临的困难 : 定性研究定性分析 文化差异,, (, ),,,, ( - ) ( - ) ( - ) ( - ) ( - ) (

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

数据和方法 研究区概况 机载高光谱数据收集 样地冠层光谱数据收集

数据和方法 研究区概况 机载高光谱数据收集 样地冠层光谱数据收集 刘丽娟 庞 勇 范文义 李增元 李明泽 东北林业大学林学院 黑龙江哈尔滨 中国林业科学研究院资源信息研究所 北京 杭州师范大学遥感与地球科学研究院 浙江杭州 将机载 和 高光谱数据整合 既可以获取可见光 近红外 短波红外区间连续的窄波段地物光谱 又能得到很高的空间分辨率 为高覆盖度的森林树种识别又增加了一种新方法 但是由于两种传感器的光谱响应不同 接收到的辐射值差异较大 如何将两种数据有效整合目前仍

More information

é ê

é ê 廖光洪 朱小华 杨成浩 徐晓华 基于南海 年夏季调查航次诊断计算的流函数场 选取越南以东偶极子发生海域 进行 不同的声层析观测站位设置实验 模拟计算声线传播时间信息 然后应用基函数重建方法进行了 流函数场的模拟反演研究 讨论了不同随机观测误差对反演结果的影响 研究结果表明该方法是 可行的 在所选取的约 海域内 在观测海域外围配置 个声层析观测站位就能够很好地重构原流函数场 空间分辨率约为 可以分辨模拟海域中尺度涡场结构

More information

!

! 孙文凯 肖 耿 杨秀科 本文通过对中国 美国和日本资本回报率及其影响因素的计算 认为 中国居高不下的投资率是由于中国具有非常可观的投资回报 由于中国资本回报率显著高于其他大国 因此带来了 的较快速增长 三国资本回报率在过去三十年尚未出现收敛 这意味着投资率差异会持续 将持续涌入中国 资本回报率受经济周期影响 长期资本回报率遵从一个递减的趋势 由于中国的劳动者份额及资本 产出比仍处于较低的水平 中国的高资本回报率将会维持相当长一段时间

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

ST template WORD

ST template WORD 使用 CubeMX 生成 TCPEchoServer 工程 前言 在 STM32 的众多外设中, 以太网这个外设相对而言还是比较复杂, 初始化的过程也比较复杂, 涉及到 MAC,DMA,PHY 好几块内容的初始化 可能有时候 datasheet 都看得头疼了, 可初始化还是有问题 而 STM32CubeMX 工具就可以帮我们轻松完成这部分工作 在本文中, 将分别以 STM3220G-EVAL 板为例,

More information

Microsoft Word - P085003

Microsoft Word - P085003 1 編 P08500 文 85.0. 字 8501695 文 台 政 華 月 日 85 字 8501695 主 旨 圖 事 項 詳 圖 長 扁 2 書 台 書 壹 詳 圖 貳 令 依 據 台 條 條 詳 細 緣 起 速 推 展 落 私 投 資 事 業 依 台 並 考 慮 台 行 政 轄 展 時 先 後 衰 敗 程 研 針 對 萬 華 同 正 研 並 將 申 擬 自 受 述 行 政 限 併 檢 討 就

More information

教学输入与学习者的语言输出 温晓虹 本文从三个方面探讨了语言的输入与输出的关系 首先从理论研究的角度讨 论了从语言输入到语言输出的习得过程 实验研究表明 输入的语言素材必须被学习者所接收 即使接收了的内容也并不会自动进入中介语的体系 而是需要进一步对输入语言进行 分解 归类等分析性与综合性的处理 在语言 内化 的基础上 学习者的中介语系统才能 够不断地得到重新组合 趋于目的语 另外 学习者在语言输出前和输出时需要调节

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

数学分析(I)短课程 [Part 2] 4mm 自然数、整数和有理数

数学分析(I)短课程 [Part 2]   4mm 自然数、整数和有理数 .. 数学分析 (I) 短课程 [Part 2] 自然数 整数和有理数 孙伟 华东师范大学数学系算子代数中心 Week 2 to 18. Fall 2014 孙伟 ( 数学系算子代数中心 ) 数学分析 (I) 短课程 Week 2 to 18. Fall 2014 1 / 78 3. 自然数理论初步 孙伟 ( 数学系算子代数中心 ) 数学分析 (I) 短课程 Week 2 to 18. Fall 2014

More information

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1

华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 否 H 股指数上市基金 不适用 华夏沪深三百 EFZR 年 9 月 14 日 2018 年 9 月 14 日 1 恒生银行 ( 中国 ) 银行结构性投资产品表现报告 步步稳 系列部分保本投资产品 产品编号 起始日 到期日 当前观察期发生下档触发 挂钩标的 最初价格 * 最新价格 累积回报 OTZR89 2017 年 5 月 5 日 2018 年 5 月 7 日 2 否 中国电信 3.77 3.79 不适用 中国移动 82.85 79.25 华能国际 5.35 5.00 OTZR88 2017 年 6 月 21

More information

! " # " " $ % " " # # " $ " # " #! " $ "!" # "# # #! &$! ( % "!!! )$ % " (!!!! *$ ( % " (!!!! +$ % " #! $!, $ $ $ $ $ $ $, $ $ "--. %/ % $ %% " $ "--/

!  #   $ %   # #  $  #  #!  $ ! # # # #! &$! ( % !!! )$ %  (!!!! *$ ( %  (!!!! +$ %  #! $!, $ $ $ $ $ $ $, $ $ --. %/ % $ %%  $ --/ "##$ "% "##& " "##( )$ "##%! ) "##$ * "##( "##$ "##(!!!!!!!!! ! " # " " $ % " " # # " $ " # " #! " $ "!" # "# # #! &$! ( % "!!! )$ % " (!!!! *$ ( % " (!!!! +$ % " #! $!, $ $ $ $ $ $ $, $ $ "--. %/ % $

More information

01

01 ZEBRA 技术白皮书 条码编码 101 相关知识介绍 引言 20 70 数据 80 20 90 (JIT) AIAG EIA HIBCC HAZMAT 条码的优势提高数据准确性 99% 85% / / 提升效率 / 2 Zebra Technologies 保持一致性 ID 改进库存和资产管理 成本 / 效益分析 ID ID ID (ERP) RFID Zebra Technologies 3 ID

More information

500 Daniel Danalyzer 500 Rosemount Analytical 500 P/N 3-9000-537 K 2010 7 A.1 A.2 A.2.1 A.2.2 A.2.3 A.2.4 A.3 A.3.1 A.3.2 A.4 A.5 A.6 B.1 B.2 B.3 C.1 C.2 C.3 F.1 F.2 F.3 G.1 G.2 G.3 G.4 G.4.1

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

ST template WORD

ST template WORD 一种计算 CPU 使用率的方法及其实现原理 1 前言 出于性能方面的考虑, 有的时候, 我们希望知道 CPU 的使用率为多少, 进而判断此 CPU 的负载情况和对于当前运行环境是 否足够 胜任 本文将介绍一种计算 CPU 占有率的方法以及其实现原理 2 移植算法 2.1 算法简介 此算法是基于操作系统的, 理论上不限于任何操作系统, 只要有任务调度就可以 本文将以 FreeRTOST 为例来介绍本算法的使用方法

More information

K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V G Seagate Pipeline HD2 ST CS - 可用 Seagate Pipeline HD2 ST1000VM002 - 可用 1T Seagate SV35.5

K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V G Seagate Pipeline HD2 ST CS - 可用 Seagate Pipeline HD2 ST1000VM002 - 可用 1T Seagate SV35.5 注意请使用 5T 或 6T 的硬盘架 (WJ-ND400/ WJ-HDE400/WJ-HD716/WJ-HD616) 请不要在 5TB 或 6TB 硬盘底部安 2 颗螺丝 ( 下方标记 ), 因为螺丝要长于螺旋孔的深度 顶视图 底视图 1 K-HW508K / HW516K K-NL408K / NL416K 最新固件版本 :V3.200 500G Seagate Pipeline HD2 ST3500312CS

More information

1.

1. 1. 2. SCADA SCADA SCADA GIS GPRS RTU SCADA SCADA GIS MIS ; SCADA MIS 3. MIS GIS+SCADA 1 2 3 N N1 SCADA 1. SCADA( GIS ) MIS 1 2 GPS 1 10M/100M 2 1 2 1 2 1 2 2. SCADA B/S 3. SCADA 3.1 SCADA 3.1.1 ( ) : (1)

More information

Basics of ADCs and DACs, part 1

Basics of ADCs and DACs, part 1 ADC 和 DAC 基础 ( 第一部分 ) 本系列文章分为 5 个部分, 第一部分介绍采样的概念以及奈奎斯特 (Nyquist) 采样准则 第 5 部分同样也说明了如何运用欠采样和抗混叠滤波器 By Walt Kester and James Bryant, Analog Devices 作者 :Walt Kester 和 James Bryant, 美国模拟器件公司 引言 图 2-1 所示为典型的采样数据

More information

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b

航天电器 SAM 系列射频同轴连接器 机械和电气基准面 a b c 头部配合尺寸 m n l k 机械和电气基准面 d e f p q r g s t i h j u w 尺寸代号最小值最大值尺寸代号最小值最大值尺寸代号最小值最大值 a 3.30 h p 1/4-36UNS-2A b SAM 系列射频同轴连接器 航天电器 特点简介 SMA 射频同轴连接器具有体积小 频带宽 机械电气性能优越 可靠性高等优点, 是应用最广泛的射频电连接器 广泛用于微波通讯 航天航海 武器系统及微波测量设备等领域 技术特性 温度范围 -65 ~ +165 绝缘电阻 5000MΩ 特性阻抗 50Ω 介质耐压 1000V 频率范围 配软电缆 0 ~ 12.4GHz 中心导体 0.003Ω 接触电阻配半刚

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

描 述 安 装 以 及 结 构 具 有 抵 抗 能 力 的 编 码 器 外 壳 的 法 兰 尺 寸 为 58 mm, 标 配 产 品 是 由 阳 极 氧 化 铝 制 成 标 配 的 绝 对 值 编 码 器 GEL 2035 带 有 夹 紧 法 兰 重 型 夹 紧 法 兰 或 带 有 齿 轮 适 配

描 述 安 装 以 及 结 构 具 有 抵 抗 能 力 的 编 码 器 外 壳 的 法 兰 尺 寸 为 58 mm, 标 配 产 品 是 由 阳 极 氧 化 铝 制 成 标 配 的 绝 对 值 编 码 器 GEL 2035 带 有 夹 紧 法 兰 重 型 夹 紧 法 兰 或 带 有 齿 轮 适 配 磁 性 绝 对 值 编 码 器 GEL 2035 带 有 SSI 或 CANopen 接 口 LENORD +BAUER... automates motion. 技 术 信 息 版 本 09.13 概 述 紧 凑 设 计 的 磁 性 绝 对 值 触 感 器, 最 大 总 分 辨 率 为 24 位 带 一 个 高 容 量 锂 离 子 备 用 蓄 电 池 的 无 磨 损 电 子 变 速 箱 磁 阻 扫

More information

1 A5 3169-20 3169-21,, 3166 30%,, VT(PT/CT 3, D/A 3169-21 4 D/A, RMS, LAG/LEAD,, RMS A5 3169-21 ( D/A 9661 ( 33, 9661 (500A AC ( 9669 (1000A AC ( 9438

1 A5 3169-20 3169-21,, 3166 30%,, VT(PT/CT 3, D/A 3169-21 4 D/A, RMS, LAG/LEAD,, RMS A5 3169-21 ( D/A 9661 ( 33, 9661 (500A AC ( 9669 (1000A AC ( 9438 http://www.hioki.cn 3169-20 3169-21 34,, PC, RS-232C,,, 3169-203169-21 3169-20,3169-21 33 (, 0.5A~5000A, PC 9625,! /ISO14001 / /, 254mm AC 5000A 9667 HIOKI,, 3169-2196619669 (,3169-20/21 5000A 2005 1 A5

More information

使用STM32F101xx和STM32F103xx的DMA控制器

使用STM32F101xx和STM32F103xx的DMA控制器 1 前言 使用 STM32F101xx 和 STM32F103xx 的 DMA 控制器 AN2548 应用笔记 使用 STM32F101xx 和 STM32F103xx DMA 控制器 这篇应用笔记描述了怎么使用 STM32F101xx 和 STM32F103xx 的直接存储器访问 (DMA) 控制器 STM32F101xx 和 STM32F103xx 的 DMA 控制器 Cortex TM -M3

More information

幻灯片 1

幻灯片 1 第一类换元法 ( 凑微分法 ) 学习指导 复习 : 凑微分 部分常用的凑微分 : () n d d( (4) d d( ); (5) d d(ln ); n n (6) e d d( e ); () d d( b); ); () d d( ); (7) sin d d (cos ) 常见凑微分公式 ); ( ) ( ) ( b d b f d b f ); ( ) ( ) ( n n n n d f

More information

MICROMSTER 420/430/440 MICROMSTER kw 11 kw 0.12kW 250kW D MICROMSTER kw 250kW C01 E86060-D B MICROMSTER 440

MICROMSTER 420/430/440 MICROMSTER kw 11 kw 0.12kW 250kW D MICROMSTER kw 250kW C01 E86060-D B MICROMSTER 440 产品样本 D51.2 10 2008 MICROMSTER 420/430/440 变频器 应用于驱动技术的通用型变频器 产品样本 D51.2 10 2008 MICROMSTER nswers for industry. MICROMSTER 420/430/440 MICROMSTER 420 0.12 kw 11 kw 0.12kW 250kW D51.2 2008.10 MICROMSTER

More information

file://C:Documents and SettingsAdministratorLocal SettingsT

file://C:Documents and SettingsAdministratorLocal SettingsT 仓库灯光布置图 Partner for Contact: Order No.: Company: Customer No.: 日期 : 设计者 : 15068487516 内容 云南曼尔琪制冷设备有限公司设计案封面 1 内容 2 NNINNO-100W rebel es 灯具资料表 3 INNO- W120 Epistar35 灯具资料表 4 INNO-120W PHILIPS 灯具资料表 5 室内空间

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

第九章

第九章 2.5 Internet 3G 9.1 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 1 2 4 9 9.2 GSMCDMA3G 1G GSMTDMA 2G 2.5 CDMA 3G GSM GSM900MHz GSM1800MHz GSM1900MHz CDMA Code

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

Microsoft Word - 新建 Microsoft Word 文档.doc

Microsoft Word - 新建 Microsoft Word 文档.doc 变 频 器 知 识 大 全 目 录 基 础 篇 变 频 器 的 基 础 知 识 变 频 器 的 工 作 原 理 变 频 器 控 制 方 式 变 频 器 的 使 用 中 遇 到 的 问 题 和 故 障 防 范 变 频 器 对 周 边 设 备 的 影 响 及 故 障 防 范 变 频 器 技 术 发 展 方 向 预 测 控 制 篇 通 用 变 频 器 中 基 于 DSP 的 数 字 控 制 器 实 现 基

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

[8], Fig. FPLLSchematics. [8],,, [8],,, ±500Hz,,,, [3,8] ±90,,temptemp ;ωofωop Fig. LoopFilterSchematicsforFPLL FLL PLL y(), NCO z(), z() ;B ;T

[8], Fig. FPLLSchematics. [8],,, [8],,, ±500Hz,,,, [3,8] ±90,,temptemp ;ωofωop Fig. LoopFilterSchematicsforFPLL FLL PLL y(), NCO z(), z() ;B ;T 39 04 GeomaticsadIformatioScieceofWuhaUiversity Vol.39No. Nov.04 DOI0.303/j.whugis03035 67-8860(04)-39-05 FPLL GPS 3 3,,43007 6507,,8003 3,,430079 针对高动态环境下普通 GPS 接收机跟踪环路容易失锁的问题, 考虑到锁频环动态性能好 锁相环跟踪精度高的特点,

More information

有源滤波器的设计理论 模拟滤波器在信号链的应用中一般用作抗混叠滤波器 设计模拟滤波器的时候关于通带和截止带设定会用到采 样定理的知识 在时域中, 信号经过滤波在采样是 s(t) 和 h(t) 卷积, 然后再跟 δ(t) 相乘 对应到频域, 信号 S(ω) 和 H(ω) 相乘, 然后再跟 δ(ω) 卷

有源滤波器的设计理论 模拟滤波器在信号链的应用中一般用作抗混叠滤波器 设计模拟滤波器的时候关于通带和截止带设定会用到采 样定理的知识 在时域中, 信号经过滤波在采样是 s(t) 和 h(t) 卷积, 然后再跟 δ(t) 相乘 对应到频域, 信号 S(ω) 和 H(ω) 相乘, 然后再跟 δ(ω) 卷 WEBENCH 有源滤波器设计与实现 何宾 2015.07 有源滤波器的设计理论 模拟滤波器在信号链的应用中一般用作抗混叠滤波器 设计模拟滤波器的时候关于通带和截止带设定会用到采 样定理的知识 在时域中, 信号经过滤波在采样是 s(t) 和 h(t) 卷积, 然后再跟 δ(t) 相乘 对应到频域, 信号 S(ω) 和 H(ω) 相乘, 然后再跟 δ(ω) 卷积 2 有源滤波器的设计理论 原始信号和低通滤波器的频谱

More information

f () 的幅度频谱为 F ( ) ; 开关信号 () 为周期矩形脉冲, 其脉宽 相对于周期 T 非常 小, 故将其视为冲激序列, 所以 () 的幅度频谱 S ( ) 亦为冲激序列 ; 抽样信号 () 的幅 度频谱为 F ( ) ; f ( ) 的幅度频谱为 F ( ) 如图 7-1 所示 观察抽样

f () 的幅度频谱为 F ( ) ; 开关信号 () 为周期矩形脉冲, 其脉宽 相对于周期 T 非常 小, 故将其视为冲激序列, 所以 () 的幅度频谱 S ( ) 亦为冲激序列 ; 抽样信号 () 的幅 度频谱为 F ( ) ; f ( ) 的幅度频谱为 F ( ) 如图 7-1 所示 观察抽样 仿真实验四 信号的抽样与恢复 一 实验目的 1. 验证抽样定理 2. 熟悉信号的抽样与恢复过程 二 实验原理与说明 抽样定理指出 : 一个有限频宽的连续时间信号 f (), 其最高频率为, 经过等间隔抽 样后, 只要抽样频率 不小于信号最高频率 的二倍, 即满足 2, 就能从抽样信 号 f () 中恢复原信号, 得到 f ( ) f ( ) 与 f () 相比没有失真, 只有幅度和相位的差异 一般把最低的抽样频率

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

Conductix-Wampfler Inductive Power Transfer IPT 2

Conductix-Wampfler Inductive Power Transfer IPT 2 IPT 产品简介 非接触式动力和数据传输系统 Conductix-Wampfler Inductive Power Transfer IPT 2 Conductix-Wampfler Inductive Power Transfer IPT 3 9 1 2 3 4 5 6 7 8 4 2 3 4 1 8 5 7 9 6 ² ² ² - 无限的应用可能性... 用于变速箱总装线上的 AGV 小车

More information

4.C ( 详细解析见视频课程 绝对值 01 约 21 分 15 秒处 ) 5.E ( 详细解析见视频课程 绝对值 01 约 32 分 05 秒处 ) 6.D ( 详细解析见视频课程 绝对值 02 约 4 分 28 秒处 ) 7.C ( 详细解析见视频课程 绝对值 02 约 14 分 05 秒处 )

4.C ( 详细解析见视频课程 绝对值 01 约 21 分 15 秒处 ) 5.E ( 详细解析见视频课程 绝对值 01 约 32 分 05 秒处 ) 6.D ( 详细解析见视频课程 绝对值 02 约 4 分 28 秒处 ) 7.C ( 详细解析见视频课程 绝对值 02 约 14 分 05 秒处 ) [ 说明 ] 1. 以下所指教材是指朱杰老师的 管理类联考综合能力数学套路化攻略 2. 该文档中所标答案和参见的教材答案, 与视频有冲突的, 以视频答案为准! 基础篇 第 1 章 数 1.2.1 整数例题答案 : 1. A ( 详细解析见教材 P7 例 2) 2. D ( 详细解析见视频课程 数的性质 约 10 分 53 秒处 ) 3. C ( 详细解析见教材 P7 例 3) 4.E ( 详细解析见视频课程

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 4-5 讲 ) 主讲 : 张国钢副教授 西安交通大学电气工程学院 27 年春 8 时序逻辑电路与器件 8. 时序电路的结构 分类和描述方式 8.2 基于触发器时序电路的分析和设计 8.3 集成计数器 8.4 寄存器 8.5 用 Verilog 描述计数器和寄存器 27-3-24 8. 时序电路的结构 分类和描述方式 时序逻辑电路 : 在任何时刻, 逻辑电路的输出状态

More information

CS1240/CS1241用户手册

CS1240/CS1241用户手册 用户手册 20-bit Sigma-Delta ADC 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 微信号 : 芯海科技微信二维码 : 第 1 页, 共 30 页 版本历史 历史版本 修改内容 版本日期

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

标题

标题 第 39 卷 增 刊 物 探 与 化 探 Vol.39,Supp. 2015 年 12 月 GEOPHYSICAL & GEOCHEMICAL EXPLORATION Dec.,2015 doi: 10.11720 / wtyht.2015.s1.03 高 巍, 徐 修 明, 尹 航. 一 种 海 洋 重 力 测 量 信 号 滤 波 方 法 的 研 究 [J]. 物 探 与 化 探,2015,39(S1):12-16.http:

More information

Microsoft Word - µÚ17ÕÂ SD16A.doc

Microsoft Word - µÚ17ÕÂ SD16A.doc MSP430F2 系列 16 位超低功耗单片机模块原理第 17 章 SD16_A 16 位 Σ-Δ 模数转换器版本 : 1.3 日期 : 2007.5. 原文 : TI MSP430x2xxfamily.pdf 翻译 : 李璘中国计量学院编辑 : DC 微控技术论坛版主 注 : 以下文章是翻译 TI MSP430x2xxfamily.pdf 文件中的部分内容 由于我们翻译水平有限, 有整理过程中难免有所不足或错误

More information

(H ~z 2,.3 V T A(HlH -H+BH - (A(z 2lz 2 -z 2 +Bz 2 (5,H ADCP,z 2 R ε,(, (0~z V B A(lz -+B (6 R (V 2 i - 珚 2 V (H i -H - 2 i i u m u * z 0,A

(H ~z 2,.3 V T A(HlH -H+BH - (A(z 2lz 2 -z 2 +Bz 2 (5,H ADCP,z 2 R ε,(, (0~z V B A(lz -+B (6 R (V 2 i - 珚 2 V (H i -H - 2 i i u m u * z 0,A 38 6 203 6 GeomaticsadIformatioScieceofWuhaUiversity Vol.38o.6 Jue203 67-8860(20306-0729-05 A ADCP,2 3 (, 29,430079 (2,,58057 (3, 8,430072 根据 3 种经典垂线流速分布模型, 推导出适合 ADCP 盲区流速计算的局部模型, 并基于模型内符合 精度及其与实际观测垂线流速的相关系数,

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

东南大学学报 自然科学版 第 卷

东南大学学报 自然科学版 第 卷 第 卷第 期 年 月 东南大学学报 自然科学版 徐 进 邵毅明 彭其渊 蒋朝哲 以路线 驾驶者 车辆仿真系统为行车试验手段 根据行驶过程中方向盘角输入和转速的时域变化 分析了多条路线的操纵负荷特性 试验路线的设计车速 为 含长大圆 曲线 卵型 凸型 回头曲线等组合 结果表明 在 的山岭区高速公路上操纵车辆是非常容易的 当曲线半径大到一定程度时 曲线行驶和直线行驶已经不存在差别 但仍需要方向 干预 当行驶速度偏离设计车速不多时

More information

ebook42-13

ebook42-13 13 Excel E x c e l 13 Excel 133 E x c e l 13.1 1 E x c e l 2 X Y y x 12 1 7 1 3 134 Excel 2000 13-1 13-2 13-1 13-2 4 E x c e l 1) E x c e l 3 2) E x c e l 13 Excel 135 3) 1 13-3 Microsoft Excel 4) 13-3

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

i

i 可 编 程 控 制 器 FP0 模 拟 I/0 单 元 用 户 手 册 适 用 机 种 : FP0-A21(AFP0480) FP0 模 拟 I/0 单 元 用 户 手 册 ARCT1F390C '07 年 4 月 http://www.mew.co.jp/ac/c 安 全 注 意 事 项 为 防 止 受 伤 事 故, 请 务 必 遵 守 以 下 事 项 在 安 装 运 行 维 护 保 养 以 及

More information

小信号调谐放大器

小信号调谐放大器 Chap4 Chap3 Chap3 Chap5-6 Chap2 Chap7 Chap2 Chap5-6. Chap4. 1 Chap2. 2 Chap2 3. Chap3 4. Chap3 5. Chap4 6. Chap5 7. Chap6 8. Chap7 9. Chap8.1.2.3 LC () 2012 10 1 / 19 .. 0202004 071502101211 1/34 /7108

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information