Application Note:

Size: px
Start display at page:

Download "Application Note:"

Transcription

1 参考设计 : HFRD-14.0 Rev. 11; 11/08 参考设计 多速率 (1Gbps 至 4.25Gbps) 850nm 小封装可插拔 (SFP) 收发器

2 高频参考设计 参考设计 : 多速率 (1Gbps 至 4.25Gbps) 850nm SFP 收发器 目录 1. 概述 获取更多信息 参考设计详细资料 功能框图 推荐工作条件 典型设计性能数据 发送器特性曲线 接收器特性曲线 应用信息 快速入门 焊盘说明 元件列表 (HFRD-14.0) 原理图 (HFRD-14.0) 电路板尺寸 (HFRD-14.0) 电路板布局 (HFRD-14.0) 板层剖面 其它评估资源 概述 间 同时提供了已装配电路板的测试数据和典型特性, 以方便对参考设计进行评估 1.1 特性 提供原理图和材料清单 提供 Gerber 文件 多速率 (1Gbps 至 4.25Gbps) +3.3V 单电源供电 SFP 多源外形 数字诊断监视和内部校准 850nm 波长 VCSEL 利用带查表和数字诊断功能的数调电阻进行温度补偿 兼容 LC 光纤连接器 提供经组装和测试的 SFP TX 电路板 (HFRD-14.0) 以及 SFP 主板 (HFRD- 15.0), 用于评估 高频参考设计 (HFRD-14.0) 是一套完整的光收发器, 定位于小封装可插拔 (SFP) 多源协议 (MSA) 市场和其它高速光收发器应用 本设计采用高速垂直腔表面发送激光器 (VCSEL) 高速 PIN MAX3793 TIA MAX3748A 限幅放大器和高速 MAX3795 VCSEL 驱动器, 数据速率可达 4.25Gbps 通过 MAX3795 的 APC 环路和 Dallas Semiconductor 的双路温控数字电阻 DS1859, 可在整个工作温度范围内保持恒定平均光功率和光调制幅度 (OMA) 2 获取更多信息 提供数量有限的 HFRD-14.0 SFP 发送器电路板和 HFRD-15.0 SFP 主板 了解关于参考设计的详细信息及索取 SFP 发送器和 / 或主板, 请发送电子邮件至 DS1859 提供对偏置电流 监视二极管电流 接收功率 VCC 和温度的数字监视功能 DS1859 符合 SFF 8472 数字诊断要求, 具备内部校准功能 HFRD-14.0 收发器提供原理图 PCB 布局 Gerber 文件和 SFP 收发器的全部材料清单, 有助于缩短 SFP 和其它类型光发送器的设计时 Page 2 of 23

3 3 参考设计详细资料 HFRD-14.0 SFP 收发器参考设计 ( 图 1) 包括一个高速 VCSEL 驱动器 (MAX3795) 一个双路温控可调电阻 (DS1859) 一个高速限幅放大器 (MAX3748A) 一个 VCSEL 和一个 ROSA ( 带有 PIN 光电二极管的 MAX3793) 本设计符合 SFP 和 SFF-8472 MSA, 具有安全特性, 可进行内部校准 HFRD-14.0 支持 1Gbps 至 4.25Gbps 多速率工作 并提供了 和 4.25Gbps 的性能测试结果 3.1 发送器组成 MAX3795 激光驱动器 MAX3795 是用于小封装 (SFF) 和小封装可插拔 (SFP) 光纤 LAN 发送器的高速 VCSEL 驱动器 它包括一个偏置生成器 一个激光调制器, 具有完整的安全特性 自动功率控制 (APC) 调整 VCSEL 偏置电流, 在温度和 VCSEL 性能变化时, 保持平均光功率不变 MAX3795 可提供最大 15mA 的 VCSEL 调制电流和最大 15mA 的偏置电流 MAX3795 与 Dallas DS1859 结合使用可满足 SFF-8472 的时序和诊断要求, 适用于多种 VCSEL 封装, 如低成本的 TO-46 和 TO-56 等 MAX3795 的安全电路能检测出可能导致危险光功率的故障, 并禁止 VCSEL 输出 安全电路符合 SFF 和 SFP 多源协议 (MSA) 更详细信息请参考 上 MAX3795 的数据资料 DS1859 数字可调电阻 DS1859 双路温控 NV 可调电阻包括两个 20kΩ 256 级可调电阻 一个 直接数字化 温度传感器 三个外部电压监视器和一个内部 V CC 电压监视器 DS1859 符合 SFF-8472 要求, 可进行内部校准 该器件通过查表, 在温度变化时调整阻值, 对偏置和调制电流进行补偿 补偿量取决于 VCSEL 类型和偏置条件 可调电阻设置存储在 EEPROM 中, 通过工业标准 2 线串行总线进行访问 每个可调电阻的阻值由温度寻址的查找表决定, 在 -40 o C 至 +102 o C 范围内, 每隔 2 o C, 查找表为每个电阻设置一个阻值 温度 电源电压和三个监视器的 12 位输出以左对齐的形式出现在串行总线上 可对电源电压和外部电压监视器标志位进行读写 更详细的信息, 请参考 上 DS1859 的数据资料 VCSEL HFRD-14.0 采用以下高速 VCSEL 进行测试 : Emcore:( ) Advanced Optical Components:(HFE ) Furukawa:(FOL85VMDTOI-0-L2) comsales@ho.furukawa.co.jp Picolight:(PL-SLC-00-SG0-C0) 所有 VCSEL 都封装在 LC 连接器形式的管座中, 适合高速通信应用 Page 3 of 23

4 3.2 接收器组成 MAX3748A 限幅放大器 MAX3748A 多速率限幅放大器可用做 SONET 光纤通道和千兆以太网光接收器的数据量化器 该放大器可接受宽范围的输入电压并提供带有受控沿速率的稳定电流模式逻辑 (CML) 输出 该器件其它特性包括可编程信号丢失 (LOS) 探测 极性反转引脚和输出禁止 ( 可用于抑制输出 ) 等 关于 MAX3748A 的其它信息, 请访问 MAX3793 跨阻放大器 MAX3793 跨阻放大器为速率高达 4.25Gbps 的通信提供紧凑 低功耗的解决方案 在 2.9GHz 标准带宽 (BW) 0.3pF 输入电容条件下, 其输入基准噪声为 450nA MAX3793 采用 +3.3V 单电源供电, 功耗为 105mW MAX3793 管芯尺寸为 30mil x 50mil, 无需安装外部补偿电容 通过一个连接至 V CC 的片内 750Ω 电阻, 为光电二极管正向偏置提供节省空间的滤波器连接 MAX3793 还在 5 引脚 RX TO 上提供输入光电流基于地的电流镜像 该特性可用于实现 SFF 数字诊断监视所需的 RSSI 功能 其它信息, 请参考 上 MAX3793 数据资料 光接收器组件 (ROSA) 我们已对 HFRD-14.0 进行了测试,ROSA 包含 MAX3793 TIA, 可由下列厂商提供 : Picolight:(PL-SLR-00-SG3-C3) Emcore:( ) ULM Photonics:(ULMPIN-04-TN-ULCFTP) Page 4 of 23

5 TD+ TX_DISABLE HFRD-14.0 SFP Transceiver IN+ SQULECH MAX3795 PECL / CML Compatible Inputs REF MD BIAS / APC Modulation Outputs IN- TD- C COMP COMP BIAS OUT+ OUT- TC1 Ferrite Bead R D VCSEL V CCT FAULT Safety Circuitry TC2 V FAULT Current Monitors MON1 BIASMON MON2 MON3 PC_MON R PEAKSET V RSSI R PWRSET WP Monitors / Flags DS1859B 20kΩ 20kΩ MODDEF2 MODDEF1 SDA SCL Programming Logic Look-Up Table MODDEF0 TX_FAULT V FAULT IN1 OUT1 Open Collector Logic Circuits Temperature Sensor LOS RD+ OUT- RD- DISABLE OUT+ POL MAX3748A PECL / CML Compatible Outputs CAZ1 Gain Offset Correction Loop CAZ2 OUT2 LOS IN2 Power Detect CML Inputs V CCR Ferrite Bead MAX3793 CML Outputs VCC Amplifier Stages DC Cancellation Filter IN FILT Represents a controlled impedance transmission line V RSSI 图 1. 功能框图 Page 5 of 23

6 4 推荐工作条件 PARAMETER SYMBOL CONDITIONS MIN TYP MAX UNITS Operating Ambient Temperature T A Note o C Supply Voltage V CC V Data Rate ETHERNET Patterns ( 2 7-1) Gbps Differential Input Voltage V ID mv P-P TTL Input Voltage (Low) V IL 1.1 V TTL Input Voltage (High) V IH 2.6 V 注 1:MAX3748A MAX3793 和 MAX3795 的工作环境温度为 -40 o C 至 +85 o C ( 安装在 Maxim 提供的评估板上, 该电路板保证足够的热沉 ) VCSEL 的推荐工作管壳温度依赖于供应商, 其管壳温度最高典型值为 85 C, 最低范围为 -40 o C 至 0 o C 5 典型设计性能数据 5.1 发送器性能数据 ( 典型值在 T A = +25 o C,V CC = +3.3V 时测得 ) PARAMETER SYMBOL CONDITIONS TYP UNITS Power Supply Current Transmitter Only 58 ma Average Optical Power P AVG 4.25Gbps (Note 1) -3 to -6 dbm With TX_DISABLE Asserted < -50 dbm Extinction Ratio E R -20 o C to +85 o C, (Note 2) > 9 db Optical Rise Time t R 20% to 80% (Notes 3) 40 ps Optical Fall Time t F 80% to 20% (Notes 3) 89 ps Deterministic Jitter DJ +/- K28.5 pattern, 4.25Gbps rate (note 4) With 4.25Gbps fibre channel filter 0.07 UI Added Random jitter RJ P-P Random Jitter (Note 5) 0.09 UI 1.063Gbps > 35 Eye Mask Margin (Notes 1, 1.25Gbps > Gbps > 4.25Gbps > 20 Center Wavelength 850 nm 注 1: 采用 PRBS 输入数据码型测得 注 2: 采用 2.125Gbps 重复 0011 码型测得 注 3: 在未滤波的眼图上测得, 接收器带宽 > 9GHz Page 6 of 23

7 注 4: 包括码型产生器源确定性抖动, 其典型值小于 0.04UI 注 5: 对光信号采用 4.25Gbps 光纤通道滤波器, 在光信号跳变中点测得 与 VCSEL 有关 消除了估算系统时基抖动 P-P 抖动由计算所得 RMS 抖动乘以 14 得到 注 6: 模板余量采用标准模板限制, 在捕获 > 1000 个波形后测得 5.2 接收器性能数据 ( 典型值在 T A = +25 o C,V CC = +3.3V 时测得 光源为 New Focus 1780 型 10GHz 参考发送器 ) PARAMETER SYMBOL CONDITIONS TYP UNITS Power Supply Current Receiver Only 83 ma Average Optical-Input Power Overload P AVGMAX > 0 dbm Receiver Sensitivity Expressed as Optical Modulation Amplitude (OMA) dbm (Note 1, 2) OMA MIN Data Rate = 1.063Gbps Data Rate = 2.125Gbps -19 Data Rate = 4.25Gbps -18 dbm (OMA) Crosstalk Penalty (Note 3) Data Rate = 4.25Gbps < 0.5 db Deterministic Jitter (Note 4) Dj -15dBm average power 0.07 UI Deterministic Jitter (Note 4) Dj 0dBm average power 0.07 UI Added Random Jitter (Note 5) R j -15dBm average power, fast source 0.18 UI P-P Added Random Jitter (Note 6) R j -11dBm average power, stressed source 0.12 UI P-P RX Rise Time (differential) tr 20 to 80%, -15dBm average input power 65 psec RX Fall Time (differential) tf 80 to 20%, -15dBm average input power 65 psec Output Data Amplitude Vout -15dBm average input power, diff output 800 mv Loss of Signal (Note 7) LOS Assert dbm Deassert Loss of Signal Hysteresis 2.3 db 注 1: 采用 New Focus 10GHz 参考源, 以 PRBS 测试码型测量灵敏度 在测量期间,SFP 发送器禁止 注 2: 光调制幅度 (OMA) 采用约为 10dB 的消光比进行计算 注 3:SFP 数据发送与接收同步 调整发送数据相位以实现最大串绕灵敏度损失 异步发送数据串绕损失将会降低 注 4: 含有小于 0.04UI 的源确定性抖动 注 5: 消除了估算系统和源抖动 测试源不受限 P-P 由 RMS 值乘以 14 得到 发送器禁止 注 6: 消除了估算系统和源抖动 通过与 New Focus 源串联一个低通滤波器, 将测试源限制为低边沿速率 受限测试功率比固有功率大 4dB 发送器禁止 注 7: 采用 4.25Gbps PRBS 测试码型测试信号丢失 (LOS) HFRD-14.0 采用较小的跳变功率验证滞回 减小 R14 可增大跳变点功率 Page 7 of 23

8 5.3 收发器时序 TX_DISABLE Assert Time t OFF Time from rising edge of TX_DISABLE to optical power at 5% of steady state. 1.5 μs TX_DISABLE Negate Time t ON Time from falling edge of T DIS to optical power at 95% of steady state when TX_FAULT = 0 before reset. < 200 μs TX_FAULT Reset Time or Power on Time t INIT From power on or negation of TX_FAULT using TX_DISABLE. 60 ms TX_FAULT Assert Time t FAULT Time from fault occurrence to TX_FAULT on, C FAULT < 20pF, R FAULT = 4.7kΩ. 1.4 μs TX_FAULT Delay Time t FLTDLY Time from fault to bias and modulation current at off state limits. 1 μs LOS Assert Time t ASSERT Time from LOS state to LOS assert. < 50 μs LOS Deassert Time t DE-ASSERT Time from non-los state to LOS deassert. < 50 μs TX_DISABLE to Reset Time TX_DISABLE must be held high to reset TX_FAULT. < 1 μs Page 8 of 23

9 6 发送器特性曲线 (T A = +25 o C, V CC = +3.3V, PRBS unless otherwise noted) 光学眼图 (1.063Gbps, E R ~10dB, OMA 600μW, 2.125fc filter) 光学眼图 (2.125Gbps, E R ~10dB, OMA 600μW, 2.125fc filter) 光学眼图 (4.25Gbps, E R ~10dB, OMA 600μW, 4.25fc filter) Mask margin 54% Mask margin 28% 光学眼图 (1.0625Gbps, E R ~10dB, Unfiltered 10GHz O/E) 光学眼图 (2.125Gbps, E R ~10dB, Unfiltered 10GHz O/E) 光学眼图 (4.25Gbps, E R ~10dB, Unfiltered 10GHz O/E) 光学眼图 (4.25Gbps, Temp = -10 o C 4.25fc Filter) 光学眼图 (4.25Gbps, Temp = 70 o C 4.25fc Filter) 光学眼图 (4.25Gbps, Temp = 85 o C 4.25fc Filter) Mask Margin ~25% Mask Margin ~26% Mask Margin ~24% Page 9 of 23

10 100 发送器电源电流 偏置电流与温度 Current [ma] Current [ma] Ambient Temperature [ C] Ambient Temperature [ C] -3 平均光功率与温度 14 消光比与温度 Average Power [dbm] Extinction Ratio [db] Temperature [ C] Temperature [ C] Gbps 模板余量与温度 4 Rmodset 与温度 Mask Margin [%] Rmod [kω] Temperature [ºC] Temperature [ºC] Page 13 of 23

11 7 接收器特性曲线 (T A = +25 o C, V CC = +3.3V, PRBS. Diagrams taken at received data output SMA connectors of HFRD-15.0 host board plus three feet of cable.) 输出眼图 (1.063Gbps, -18dBm Optical Input) 输出眼图 (2.125Gbps, -18dBm Optical Input) 输出眼图 (4.25Gbps, -18dBm Optical Input) 输出眼图 (4.25Gbps, -15dBm Optical Input) 输出眼图 (4.25Gbps, -11dBm Optical Input) 输出眼图 (4.25Gbps, 0dBm Optical Input) 输出眼图 (4.25Gbps, -15dBm Optical Input, Temp = -20 o C) 输出眼图 (4.25Gbps, -15dBm Optical Input, Temp = 85 o C) 输出眼图 (4.25Gbps, 0dBm Optical Input, Temp = 85 o C) Page 11 of 23

12 BER 性能与码率 1.E-03 1.E-04 1.E-05 BER 1.E-06 1.E-07 1.E-08 1.E-09 1.E Gbps 2.125Gbps 4.25Gbps 4.25Gbps Stressed 1.E-11 1.E Optical OMA [dbm] 100 接收器电源电流 Gbps RX -15dBm ( 包括源抖动 ) Current [ma] Ambient Temperature [ C] 4.25Gbps P-P RX -15dBm Dj [UI] Temperature [ C] RSSI 输出与光输入 Rj [UI] V RSSI [mv] Temperature [ C] Average Input Power [µw] Page 12 of 23

13 8 应用信息 8.1 小封装可插拔 (SFP) 收发器 HFRD-14.0 收发器专门针对小封装可插拔 (SFP) 收发器多源协议 (MSA) 和 SFF-8472 MSA 数字诊断要求而设计 这些 MSA 给出了封装外形 引脚功能以及模块设计其它方面的要求 符合要求的模块在机械和功能上可以互换 8.2 监视器输出 MAX3795 和 MAX3793 片内电流监视功能可实现对偏置电流 VCSEL 监视二极管电流和光电二极管接收信号强度的监视 偏置监视器 (MAX3795 的 BIASMON) 在其于地之间的外部电阻上产生一个基于地的电压, 与偏置电流成正比 二者关系为 : I BIAS 9.5 V = 500Ω BIASMON 平均功率监视器 (MAX3795 的 PWRMON) 在外部电阻上产生一个基于地的电压, 与监视二极管电流 (I MD ) 和平均光功率成正比 二者关系为 : 8.3 设置 DS1859 DS1859 双路可调电阻通过工业标准 2 线接口设置 接口 I/O 引脚由 SDA 和 SCL 组成 ( 了解更详细信息, 请参见 DS1859 的数据资料 ) 这些控制线连至发送器电路板的焊盘 4 (MOD- DEF2,SDA) 和焊盘 5 (MOD-DEF1,SCL) 然后连接标准 SFP 评估板, 通过这些引脚对器件进行设置 利用软件 DB9 串行电缆和 HFRD-15.0 主板等其它资源可方便实现对 DS1859 的设置 它们通过 2 线接口对 DS1859 进行调整 参见 23 页的其它评估资源, 了解更详细的信息 8.4 布板考虑 HFRD-14.0 PCB 上设计了差分和单端传输线 改变 PCB 布局 ( 详见 23 页 ) 会影响传输线阻抗和参考设计性能 如果修改板层布局, 则传输线尺寸应重新计算 8.5 主板要求 与 HFRD-14.0 SFP 收发器板接口的设计中应采用阻抗受控的传输线, 并注意高频设计技巧 主板应有足够的电源滤波 推荐使用的发送器 SFP MSA 电源滤波如图 2 所示 I MD = V 2 R PWRMON PWRSET SFP MSA Power Supply Filter L1 1uH C2 10uF VCCR C3 0.1uF 接收信号监视器 (MAX3793 的 RSSI) 在外部电阻上产生一个基于地的电压, 与光电二极管 DC 电流 (I PD ) 和平均输入光功率成正比 请参见第 12 页的典型响应曲线 Supply C2 10uF C1 0.1uF L1 1uH VCCT C3 0.1uF 监视器引脚 (V BIASMON V PWRMON 和 V RSSI ) 上的电压由 DS1859 采样并存储在存储器中 这些电压值可通过 2 线总线, 以 12 位数字信号的形式读出 如果 BIASMON 或 PWRMON 上的电压超过 0.8V ( 典型值 ),MAX3795 将锁定故障状态,TX_FAULT 将报警 图 2. 电源滤波器 Page 13 of 23

14 8.6 MSA 兼容 EMI 和安全问题 Maxim 不能保证与 SFP MSA 和其它性能规范完全一致, 因此用户应谨慎使用该参考设计 本参考设计目的在于帮助 SFP 模块设计人员, 而不是替代整个设计过程 SFP 模块设计人员应对此参考设计进行评估, 并根据每个项目的不同要求, 进行相应修改 设计人员还应仔细考虑一些特殊应用场合的安全和 EMI 问题 8.7 数据速率 HFRD-14.0 设计工作于 4 种速率, 即 和 4.25Gbps 参考设计的发送消光比大于 9dB, 以保证既能在 1.25Gbps 可靠工作, 还能在 4.25Gbps 保持较好的眼图质量 由于 APC 环路和数据输入和输出 AC 耦合电容的低频截止效应, 采用较长的 PRBS 测试码型时, 数据速率低于 1Gbps 的光信号性能会因基线漂移劣化 工作在 4.25Gbps 时, 可通过调整 MAX3795 峰值电阻 (R PEAKSET ) 改善 VCSEL 下降沿质量 本参考设计所示的传输眼图均在 R PEAKSET 开路时测得 通过优化 VCSEL 偏置电平, 可实现最大带宽, 减小接通延时和相关抖动 这些参数性能与 VCSEL 消光比设置有关 一般而言, 消光比应随数据速率增加而略微降低, 以获得最佳性能 对于多速率工作, 如包括需至少 9dB ER 的 GBE 1.25Gbps 时, 高数据速率的性能会有所下降 8.8 工作温度 MAX3748A MAX3793 和 MAX3795 的工作温度为 -40 o C 至 +85 o C TOSA 和 ROSA 的建议工作温度取决于不同的制造商 VCSEL 管壳最高温度通常规定为 85 C 管壳最低温度可在 -40 C 至 0 C 之间变化 HFRD-14.0 建议工作温度范围为 0 C 至 70 C 8.9 Gerber 文件 索取本参考设计的 Gerber 文件, 请发送电子邮件至 9 快速入门 HFRD-14.0 可在任何标准 SFP 主板或 Maxim 提供的 HFRD-15.0 主板 ( 请参考应用笔记 HFRD-15.0, 了解 Maxim SFP 主板的详细资料 ) 上进行评估 可通过 MOD-DEF2 和 MOD- DEF1 焊盘更改 DS1859 设置 VCSEL 模块可能来自 节中列出的任何一家供应商 通过读取 DS1859 辅助器件 ( 器件地址为 A0h) 存储器地址 00h, 可确定 VCSEL 类型, 如表 1 所示 Page 14 of 23

15 表 1. 板上安装的 VCSEL VCSEL 00h Emcore 12h Honeywell 44h Picolight 66h Furukawa 88h 模块出厂前预设为在 25 o C 提供约 10dB 消光比和 -6dBm 至 -4.0dBm 平均功率 存储器表中装入的典型曲线用于对 VCSEL 调制进行温度补偿 该表根据多个 VCSEL 的典型数据生成 若未使用 Dallas DS1859 的软件在整个温度范围内进行校准, 平均光功率和 OMA 偏差可能会大于参考设计特性曲线所示指标 在使用带有激光二极管的器件时, 必须谨慎操作, 以确保安全 激光辐射有害, 会对眼睛造成伤害 Maxim 不对使用本参考设计造成的伤害负责 本设计的安全操作问题完全由用户自己负责 对标准 SFP 主板上的 HFRD-14.0 发送器进行评估, 请按照以下步骤操作 : 1) 连接 HFRD-14.0 至 SFP 主板 2) 在 SFP 电路板的 18 和 19 焊盘上连接 1Gbps 至 4.25Gbps 差分信号源 每个信号源应具有 100mV 至 1100mV 的峰 - 峰值 (200mV 至 2200mV 差分值 ) 3) 连接带 LC 型插座的多模光纤至激光源 在连接时, 不要过于用力 在光纤或其它器件上过于用力会对光源造成损害 4) 将光纤另一端通过一个光电转换器或光插件模块连至高速示波器 光电转换器件在工作频段应具有足够带宽, 并能探测 850nm 波长 注意 : 本参考设计提供的激光源功率达几 mw 如果光功率超过光电转换器件的额定值, 则需要进行衰减 此外, 为防止出现高速波形失真,O/E 要求平均输入功率比 O/E 额定最大功率低数个 db 5) 连接 +3.3V 电源至主板 最大电流不要超过 200mA 6) 确认 TX_DISABLE 没有置位, 使 SFP 发送器能够正常工作 对标准 SFP 主板上的 HFRD-14.0 接收器进行评估, 请按照以下步骤操作 : 7) 连接 HFRD-14.0 至 SFP 主板 8) 主板差分输出和示波器之间连接等长高速 SMA 同轴电缆 9) 连接带 LC 型插座的多模光纤至 ROSA 在连接时, 不要对 ROSA 和光纤过于用力 在光纤或其它器件上过于用力会对 ROSA 造成损害 10) 通过一个光衰减器, 将光纤另一端连至高速 850nm 光源 如果采用本参考设计的发送器部分做为光源, 请按照步骤 1 至 7 来设置发送器 光源平均输出功率应低于 0dBm 11) 连接 +3.3V 电源至主板 最大电流不要超过 200mA Page 15 of 23

16 10 焊盘说明 焊盘 名称 功能 1,17,20 V EE T 发送器地 2 TX_FAULT 发送器故障指示 ( 开集极 ) 3 TX_DISABLE 发送器禁止 4 MOD-DEF2 模块定义 2,2 线串行 ID 接口 (DS1859 的 SDA) 5 MOD-DEF1 模块定义 1,2 线串行 ID 接口 (DS1859 的 SCL) 6 MOD-DEF0 模块定义 0, 连接至 V EE T 7 RATE SELECT N.A. 未连接 8 LOS 信号丢失 ( 开集极 ) 9, 10, 11, 14 V EE R 接收器地 12 RD- 接收器反相数据输出 13 RD+ 接收器数据输出 15 V CC R 接收器电源,+3.3V ±10% 16 V CC T 发送器电源,+3.3V ±10% 18 TD+ 发送器数据输入 19 TD- 发送器反相数据输入 Page 16 of 23

17 VeeR RD- RD+ VeeR VccR VccT VeeT TD+ TD- VeeT DS BGA 4mm X 4mm Split Power and Ground Plane MAX3748A 16 QFN 3mm X 3mm MAX QFN 4mm X 4mm MAX3793 and Photodiode VCSEL LC Sleve LC Sleve (TOP VIEW) VeeT TX_FAULT TX_DISABLE MOD-DEF2 MOD-DEF1 MOD-DEF0 RATE SELECT LOS VeeR VeeR Split Power and Ground Plane VCSEL MAX3793 and Photodiode LC Sleve LC Sleve (BOTTOM VIEW) 图 3. HFRD-14.0 SFP 电路板示意图 Page 17 of 23

18 11 元件列表 (HFRD-14.0) DESIGNATION QTY DESCRIPTION C μF +/-10% Ceramic Capacitor C pF +/- 0.5pf Ceramic Capacitor C19 1 DNI Used to reduce low-freq cutoff of the 3748 C1 C2 C4 C6 C8-10 C18 C μF +/- 10% Ceramic Capacitor (0402) C3 C13 C μF +/- 10% Ceramic Capacitors (0402) C5 C7 C μF +/- 10% Ceramic Capacitor (0402) D1 1 VCSEL Laser (multiple vendors, see sections and 9) L1, 2, Ω Ferrite Bead TDK MMZ1005Y601 R Ω +/- 1% Resistor (0402) R2 R4 R5 R10 R13 5 DNI R18 1 Adjust as needed to optimize VCSEL fall time (no value installed) R Ω 1% Resistor (0402) R Ω Resistor (0402) R11-12 R kΩ Resistor (0402) R kΩ Resistor (0402) R kΩ Resistor (0402) R9 1 2kΩ Resistor (0402) R6, R8 2 0Ω Resistor (0402) U1 1 MAX3795ETG U PIN BGA PACKAGE, 4 X 4 MATRIX, 1MM PITCH (DS ) U3 1 MAX3748A ETE U4 1 MAX3793 PIN TIA ROSA ASSEMBLY (SUPPLIED BY PICOLIGHT) SB2, SB8, SB9 3 Shorted Bridgepads SB1,SB6 2 Open Bridgepads Page 18 of 23

19 12 原理图 (HFRD-14.0) A 图 4. HFRD-14.0 SFP 发送器原理图 Page 19 of 23

20 13 电路板尺寸 (HFRD-14.0) 5.4mm. 27.0mm. 1.4mm. 9.2mm. 12.0mm. 1.4mm. 32.4mm. 图 5. 电路板尺寸 参见 SFP MSA, 了解其它尺寸要求 Page 20 of 23

21 14 电路板布局 (HFRD-14.0) 图 6. HFRD-14.0 元件布局 元件层 图 7. HFRD-14.0 元件布局 焊接层 图 8. HFRD-14.0 PCB 布局 元件层 Page 21 of 23

22 图 9. HFRD-14.0 PCB 布局 地平面 图 10. HFRD-14.0 PCB 布局 电源平面 图 11. HFRD-14.0 PCB 布局 焊接层, 由元件层透视 Page 22 of 23

23 15 板层剖面 HFRD-14.0 SFP 发送器电路板含有阻抗受控传输线 板层剖面基于以下假设 : 1. 介电常数约为 4.7 的 FR4 绝缘材料 2. 1oz 铜箔 单端 耦合 A 12mil 8mil B > 50mil 10mil C 8mil 8mil D 根据需要根据需要 16 其它评估资源 设置 DS1859 时, 可能会用到以下资源 : 1. DS1859 评估软件 : 可运行于标准 PC 上的软件 该软件便于实现与 DS1859 控制器 IC 的接口 软件通过串行接口和 HFRD-15.0 通信, 发送和接收数据 2. RS-232 电缆 : 标准 DB9 连接器电缆, 用于连接 HFRD-15.0 串口适配器和计算机 3. HFRD-15.0 参考设计主板 : 含 SFP 模块连接器 高速数据连接器 (SMA) 以及用于与 PC 接口的 RS-232 DB9 通信端口 如需获取更多信息, 请发送电子邮件至 PREPREG A B A C D C CORE PREPREG Maxim 不对 Maxim 产品以外的任何电路使用负责, 也不提供其专利许可 Maxim 保留在任何时间 没有任何通报的前提下修改产品资料和规格的权利 Page 23 of 23

Microsoft Word - AN3730CRev7PR2.doc

Microsoft Word - AN3730CRev7PR2.doc 参 考 设 计 : HFRD-19.2 Rev. 7; 11/08 自 2008 年 7 月 起, 将 不 再 提 供 该 参 考 设 计 的 电 路 板 如 需 Gerber 文 件 和 原 理 图, 请 提 交 申 请 参 考 设 计 850nm XFP 收 发 器 目 录 1. 概 述... 2 2. 获 取 更 多 信 息...2 3. 参 考 设 计 详 细 说 明... 3 4. 推 荐

More information

MAX3735/3735A DS.C

MAX3735/3735A DS.C 19-2529; Rev 2; 7/04 2.7Gbps SFP +3.3V 155Mbps 2.7Gbps SFP/SFF SFP MSA SFF-8472 (APC) 10mA 60mA ( 85mA) 1mA 100mA FP/DFB DS1858 SFP IC APC MAX3735A -40 C +85 C SFP/SFF 1G/2G SFP/SFF OC3 OC48-FEC SFP/SFF

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

Microsoft Word - AN4794C

Microsoft Word - AN4794C 参考设计 : HFRD-49.1 Rev 0; 8/10 多速率 1.0625Gbps 至 10.3125Gbps SFP+ LR 收发器 多速率 1.0625Gbps 至 10.3125Gbps SFP+ LR 收发器 目录 1 概述 2 2 参考设计详细说明 2 3 性能参数 4 4 评估步骤 9 5 SFP+ 评估软件 10 6 信号定义 18 7 元件列表 19 8 电路板布局 20 9 板层剖面

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

Microsoft Word - Trendsil OB9C3A product brief v2.0.doc

Microsoft Word - Trendsil OB9C3A product brief v2.0.doc +3.3V, 155 至 300Mbps 百兆收发合一光模块芯片 概述 百兆收发合一光模块芯片集成了限幅放大器 (LA) 和激光驱动器 (LD) 两种功能 由于其高集成度和纤薄的 QFN24L(24 引脚,4mm x 4mm) 封装, 所以极大地简化了光模块上的外围元器件和 PCB 设计, 为百兆光模块提供了业界高性能 低成本 精简至近乎极致的解决方案 可广泛应用于百兆光收发器模块中, 包括 1x9

More information

MAX3737 DS.C

MAX3737 DS.C 19-2818; Rev 2; 7/04, +3.3V, 155Mbps 2.7Gbps,, (ERC) (APC) APC, 5mA 60mA ( 85mA) 100mA, FP/DFB () (TX_FAULT),, APC SFF-8472 SFP MSA 32 5mm x 5mm QFN QFN, -40 C +85 C OC-3 OC-48 FEC SFF/SFP GBIC 1Gbps/2Gbps

More information

SFP-GE-BIDI-20KM-T1490/R1310-LC-C10 数据手册 数据手册 MODULETEK: SFP-GE-BIDI-20KM-T1490/R1310-LC-C Gb/s SFP( 小型可插拔 ) Tx1490nm/Rx1310nm 双向光收发模块 产品简介 Modu

SFP-GE-BIDI-20KM-T1490/R1310-LC-C10 数据手册 数据手册 MODULETEK: SFP-GE-BIDI-20KM-T1490/R1310-LC-C Gb/s SFP( 小型可插拔 ) Tx1490nm/Rx1310nm 双向光收发模块 产品简介 Modu MODULETEK: 1.25Gb/s SFP( 小型可插拔 ) Tx1490nm/Rx1310nm 双向光收发模块 产品简介 ModuleTek 的 SFP-BIDI 光收发模块基于千兆以太网 IEEE 802.3 标准和光纤通道 FC-PI-2 Rev7.0, 为 GE/FC 应用提供快速可靠的接口 该产品通过 2 线串行总线实现数字诊断功能, 且符合小型可插拔多源协议 (MSA) 和 SFF-8472

More information

AEO GEPON ONU PHY OE EO CDR / SerDes 10Gb/s 1310nm DFB 1550nm EA-DFB TOSA Fiber Fiber Laser Driver Circuit Pre-Amp. Post-Amp. Optical Transceiver Cloc

AEO GEPON ONU PHY OE EO CDR / SerDes 10Gb/s 1310nm DFB 1550nm EA-DFB TOSA Fiber Fiber Laser Driver Circuit Pre-Amp. Post-Amp. Optical Transceiver Cloc AEO GEPON ONU PHY OE EO CDR / SerDes 10Gb/s 1310nm DFB 1550nm EA-DFB TOSA Fiber Fiber Laser Driver Circuit Pre-Amp. Post-Amp. Optical Transceiver Clock Synthesis, Mux Pulse Recovery, DeMux TOSA ROSA TOSA/ROSA

More information

订购信息 型号描述拉环颜色 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE

订购信息 型号描述拉环颜色 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE-CWDM DB-C10 SFP-GE MODULETEK: SFP-GE-CWDM-xxxx-38DB-C10 1.25Gb/s SFP( 小型可插拔 ) CWDM(1470nm-1610nm) 光收发模块 产品简介 ModuleTek 的 SFP-GE-CWDM-xxxx-38DB-C10 CWDM SFP 光收发模块设计用于千兆以太网和光纤通道网络设备, 可以提供包括 1470nm 到 1610nm 的 8 种不同 CWDM 波长

More information

SFP10-SR-C11 数据手册 数据手册 MODULETEK: SFP10-SR-C11 10Gb/s SFP+ 短波长 (850nm) 光收发模块 产品简介 ModuleTek 的 SFP10-SR-C11 SFP 光收发模块基于 10G 以太网 IEEE 802.3ae 标准和 SFF-84

SFP10-SR-C11 数据手册 数据手册 MODULETEK: SFP10-SR-C11 10Gb/s SFP+ 短波长 (850nm) 光收发模块 产品简介 ModuleTek 的 SFP10-SR-C11 SFP 光收发模块基于 10G 以太网 IEEE 802.3ae 标准和 SFF-84 MODULETEK: 10Gb/s SFP+ 短波长 (850nm) 光收发模块 产品简介 ModuleTek 的 SFP 光收发模块基于 10G 以太网 IEEE 802.3ae 标准和 SFF-8431 标准, 为 10G 以太网应用提供快速可靠的接口 该产品通过 2 线串行总线实现数字诊断功能, 符合 SFF-8472 的标准, 兼容 CISCO 的 FET-10G 模块 产品特性支持速率 :9.83Gb/s

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

SFP-GE-BIDI-40KM-T1310/R1550-LC-C10 数据手册 数据手册 MODULETEK: SFP-GE-BIDI-40KM-T1310/R1550-LC-C Gb/s SFP( 小型可插拔 ) Tx1310nm/Rx1550nm 双向光收发模块 产品简介 Modu

SFP-GE-BIDI-40KM-T1310/R1550-LC-C10 数据手册 数据手册 MODULETEK: SFP-GE-BIDI-40KM-T1310/R1550-LC-C Gb/s SFP( 小型可插拔 ) Tx1310nm/Rx1550nm 双向光收发模块 产品简介 Modu 数据手册 MODULETEK: SFP-GE-BIDI-40KM-T1310/R1550-LC-C10 1.25Gb/s SFP( 小型可插拔 ) Tx1310nm/Rx1550nm 双向光收发模块 产品简介 ModuleTek 的 SFP-GE-BIDI-40KM-T1310/R1550-LC-C10 SFP-BIDI 光收发模块, 根据小型可插拔多源协议 (MSA)SFF-8074i 和 SFF-8472,

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

SFP10-BIDI-T1330/R KM-C10 数据手册 数据手册 MODULETEK: SFP10-BIDI-T1330/R KM-C10 10Gb/s SFP+ Tx1330nm/Rx1270nm 双向光收发模块 产品简介 ModuleTek 的 SFP10-BIDI

SFP10-BIDI-T1330/R KM-C10 数据手册 数据手册 MODULETEK: SFP10-BIDI-T1330/R KM-C10 10Gb/s SFP+ Tx1330nm/Rx1270nm 双向光收发模块 产品简介 ModuleTek 的 SFP10-BIDI MODULETEK: 10Gb/s SFP+ Tx1330nm/Rx1270nm 双向光收发模块 产品简介 ModuleTek 的 SFP+ 光收发模块基于 10G 以太网 IEEE 802.3ae 标准和 SFF-8431 标准, 为 10G 以太网应用提供快速可靠的接口, 通过 2 线串行总线实现数字诊断功能, 符合 SFF-8472 的标准 产品特性支持速率 :9.83Gb/s 至 11.3Gb/s

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

Application Note:

Application Note: 参考设计 : HFRD-26.0 Rev. 8; 11/08 自 2008 年 7 月起, 将不再提供该参考设计的电路板 如需 Gerber 文件和原理图, 请提交申请 参考设计 GEPON SFF 主板 参考设计 :GEPON SFF 主板 目录 1. 简介... 2 2. 获取更多信息... 2 3. 参考设计详细信息... 3 4. 应用信息... 4 5. 评估板快速入门... 5 6. I/O

More information

Application Note:

Application Note: 参考设计 : HFRD-22.2 Rev. 6; 11/08 自 2008 年 7 月起, 将不再提供该参考设计的电路板 如需 Gerber 文件和原理图, 请提交申请 参考设计 GPON (MOGPON) ONT 模块 参考设计 :GPON (MOGPON) ONT 模块 目录 1. 简介... 2 2. 其他信息... 2 3. 参考设计详细说明... 3 4. 推荐工作条件... 7 5. 典型设计性能数据...

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

ºÎÓ±

ºÎÓ± FT838D 5V2A 设计报告 Description Symbol Min Type Max Unit Comment Input Voltage Vin 90 / 264 Vac Input Frequency Fline 47 50/60 63 Hz No-load Input Power (@230Vac) Active Mode Efficiency Pst / / 150 mw η /

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

MAX3205Erev1.DS.C

MAX3205Erev1.DS.C 9-336; Rev ; 0/04 ±5kV ESD, (TVS), ESD HDTV PC ( DVI TM HDMI TM ) PC (FireWire USB 2.0) (PCI Express TM,InfiniBand ), ESD, ESD IEC 6000-4-2 ±5kV ±8kV ±5kV ESD TVS ESD, 2pF, 0.05pF, MAX3207 E, USB. USB

More information

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

PowerPoint Presentation

PowerPoint Presentation Current Status of the 10G-EPON Power Budget and Improvement David Li, Hisense-Ligent dli@ligentphotonics.com Ligent Supporters Bo Wang, China Telecom David Li, Ligent Photonics Frank Chang, Vitesse Corp.

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

DML-xxxx-15DB-C10 订购信息 型号描述拉环颜色 DML DB-C10 DML DB-C10 DML DB-C10 DML DB-C10 DML DB-C10 DML DB-C10 DML

DML-xxxx-15DB-C10 订购信息 型号描述拉环颜色 DML DB-C10 DML DB-C10 DML DB-C10 DML DB-C10 DML DB-C10 DML DB-C10 DML DML-xxxx-15DB-C10 MODULETEK: DML-xxxx-15DB-C10 万兆 XFP CWDM 10KM 光收发模块 产品简介 ModuleTek 的 DML-xxxx-15DB-C10 10Gb/s 光收发模块专为 IEEE 802.3ae 10GBASE- LR,10GBASE-LW 和 10GFC 1200-SM-LL-L 互联而设计 该产品通过 2 线串行接口实现数字诊断功能,

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

Comp-AC ACS to 2.2 kw

Comp-AC ACS to 2.2 kw Comp-AC ACS100 0.12 to 2.2 kw ACS 100 3BFE 64307622 R0125 3ABD00008839 C 2002 11 20 2000 ABB Industry Oy ACS 100 5 (U c+, U c- ) G! (U1, V1, W1 U2, V2, W2 U c+, U c- )! ACS400 ( RO1, RO2, RO3)! ACS100!

More information

N1010A FlexDCA 软 件 获 取 安 装 N1010A FlexDCA 是 安 捷 伦 采 样 示 波 器 新 的 GUI 应 用 软 件, 在 86100D 主 机 内 已 经 预 先 安 装 此 软 件 我 们 有 2 个 免 费 版 本 的 软 件 可 以 通 过 下 面 连 接

N1010A FlexDCA 软 件 获 取 安 装 N1010A FlexDCA 是 安 捷 伦 采 样 示 波 器 新 的 GUI 应 用 软 件, 在 86100D 主 机 内 已 经 预 先 安 装 此 软 件 我 们 有 2 个 免 费 版 本 的 软 件 可 以 通 过 下 面 连 接 利 用 采 样 示 波 器 FlexDCA 软 件 进 行 仿 真 分 析 应 用 文 章 胡 海 洋 介 绍 系 统 仿 真 就 是 将 计 算 机 仿 真 的 方 法 引 入 到 电 子 系 统 开 发 和 设 计 过 程 中 来 通 过 计 算 机 模 拟 电 子 系 统 的 实 际 工 作 过 程, 模 拟 系 统 各 模 块 级 联 工 作 得 到 输 出 结 果, 在 虚 拟 的 环 境

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

AMP NETCONNECT

AMP NETCONNECT Quantum AMP NETCONNECT 1 2 ATM TSB 95 TIA/EIA 568-A-5 TIA/EIA 568-B Cat 5e / Cat 6 50 / 125m m 3 TSB95 100Ω Cat5 TIA/EIA 568A-5 100Ω Cat5e TIA/EIA 568B 100 Ω Cat6 ISO/IEC 11801 PDAM-3 PDAM-3 Class D (Cat

More information

Microsoft Word - PZ series.doc

Microsoft Word - PZ series.doc 叠 层 片 式 铁 氧 体 磁 珠 P 系 列 Multilayer Chip Ferrite Bead P Series Operating Temp. : -4 ~ +8 特 征 FEATUES 内 部 印 有 银 电 极 的 叠 层 结 构, 铁 氧 体 屏 蔽 无 串 扰 Internal silver printed layers and magnetic shielded structures

More information

操作指导手册

操作指导手册 GLI P53 C P53 ph/orp 1 Adobe Acrobat GLI gliint.com GLI GLI Adobe Adobe adobe.com 2 FMRC 3600 3611 3810 CSA C22.2 142 C22.2 213 EN 61010-1 TB2 TB3 1 2 3 70 3 GLI P53 GLI GLI GLI GLI GLI GLI 4 GLI ph ph

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

PDFᅲᆰᄏ커￷

PDFᅲᆰᄏ커￷ TM Technology, Inc. 文件名稱 :T8602 Demo board Test Report Customer: Model No: CF0049 FAE: Bill DATE: 2011-11-18 文件等級 一般級 機密級 極機密 Electronic Specification Item Requiring Min Typ Max Input 90Vac --- 265Vac

More information

Microsoft Word - MWRF_Components.doc

Microsoft Word - MWRF_Components.doc Microwave Microwave/RF Components The public WeChat ID: 英联微波 Scan the qr code to follow : Pin Switch Coupler Filter Hybrid Power Divider Review www.ainfoinc.com for updated product info. Chengdu A Inc.

More information

Model P53 pH-ORP Analyzer CH.doc

Model P53 pH-ORP Analyzer CH.doc 1 Adobe Acrobat GLI gliint.com GLI GLI Adobe Adobe adobe.com 安恒公司 http://www.watertest.com.cn Tel:010-88018877( 北京 ) 021-63176770( 上海 ) 2 FMRC 3600 3611 3810 CSA C22.2 142 C22.2 213 EN 61010-1 TB2 TB3

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

Products catalog

Products catalog 加速度传感器 MA 运动传感器红外线阵列传感器压力传感器 机器用传感器 松下电器的机器用传感器为节能 安全 舒适做出贡献 在各个生活场景中提供传感器 空调 Grid-EYE 人体检测 门灯的ON/OFF Grid-EYE 人体检测 血压计 压力传感器 压力检测 周围明亮时 熄灯 周围变暗时发 出微弱灯光 有人靠近时 Grid-EYE 进行检 测 1%亮灯 人不在时 再次 发出微弱灯光 安防摄像头 Grid-EYE

More information

αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / EUTECH EUTECH Eutech Instruments Pte Ltd. Blk 55, Ayer Rajah Crescent #04-14/2

αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / EUTECH EUTECH Eutech Instruments Pte Ltd. Blk 55, Ayer Rajah Crescent #04-14/2 EUTECH INSTRUMENTS αlpha-ph800 ph/orp / 68X216813 03/99 0 αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / EUTECH EUTECH 1999 1.0 Eutech Instruments Pte Ltd. Blk 55, Ayer Rajah Crescent #04-14/24,

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

订购信息 型号描述拉环颜色 SFP10-DWDM-ER-xx.xx-I-C10 10 Gigabit DWDM SFP+ 光收发模块, 单模光纤上可传输 40km (ITU 100GHz Grid) 红色 更多信息请联系 : 深圳市摩泰光电有限公司深圳市福田保税区黄槐道 3 号深福保科技工业园 B

订购信息 型号描述拉环颜色 SFP10-DWDM-ER-xx.xx-I-C10 10 Gigabit DWDM SFP+ 光收发模块, 单模光纤上可传输 40km (ITU 100GHz Grid) 红色 更多信息请联系 : 深圳市摩泰光电有限公司深圳市福田保税区黄槐道 3 号深福保科技工业园 B MODULETEK: SFP10-DWDM-ER-xx.xx-I-C10 工业温度 10G DWDM 40km SFP+ 光收发模块 产品简介 ModuleTek 的 SFP10-DWDM-ER-xx.xx-I-C10 DWDM SFP+ 光收发模块基于 10G 以太网和 SFF-8431 标准, 为 10G DWDM 应用提供快速可靠的接口 该产品通过 2 线串行总线实现数字诊断功能, 符合 SFF-8472

More information

OVLFx3C7_Series_A3_bgry-KB.pub

OVLFx3C7_Series_A3_bgry-KB.pub (5 mm) x High brightness with well-defined spatial radiation patterns x U-resistant epoxy lens x Blue, green, red, yellow Product Photo Here Each device in the OLFx3C7 series is a high-intensity LED mounted

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

untitled

untitled ( OH ) Cd ( OH ) NiOOH + Cd + H O Ni + ( OH ) + Cd ( OH ) NiOOH + Cd O Ni + H O H O 1/48 H ( ) M NiOOH + MH Ni OH + ( OH ) + M NiOOH MH Ni + /48 3/48 4/48 4 6 8 5.6KΩ±1% 1/ 4W L N C7 1nF/50V F1 T.5A/50V

More information

MAX3738 DS Rev2.C

MAX3738 DS Rev2.C 19-3162; Rev 2; 12/08 可提供评估板 155Mbps 至 4.25Gbps SFF/SFP 激光器驱动器, 概述 为 +3.3V 激光驱动器, 专为数据速率从 155Mbps 至 4.25Gbps 的多速率收发模块而设计 激光器直流耦合到, 减少了外部元件数量, 易于多速率运行 激光器消光比控制 (ERC) 包括自动功率控制 (APC) 调制补偿和片内温度补偿等 APC 环路保持平均光功率恒定

More information

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S EUTECH αlpha-res1000 / Resistivity Controller αlpha RES1000 MEAS 18.20 1 25.0 M ATC ALARM REL A REL B REL A ENTER ESC AUTO MANU REL B αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte

More information

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc EMI / EMC 设 计 秘 籍 电 子 产 品 设 计 工 程 师 必 备 手 册 目 录 一 EMC 工 程 师 必 须 具 备 的 八 大 技 能 二 EMC 常 用 元 件 三 EMI/EMC 设 计 经 典 85 问 四 EMC 专 用 名 词 大 全 五 产 品 内 部 的 EMC 设 计 技 巧 六 电 磁 干 扰 的 屏 蔽 方 法 七 电 磁 兼 容 (EMC) 设 计 如 何

More information

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce EUTECH INSTRUMENTS αlpha-do1000 / Dissolved Oxygen Controller αlpha DO1000 MEAS 8.08 mg/l 25.0 o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL B 68X242101 09/98 1 αlpha-do1000 / αlpha-do1000

More information

第一章

第一章 課 程 名 稱 : 光 纖 傳 輸 實 務 與 實 習 1. 課 程 概 述 : 光 纖 傳 輸 實 務 與 實 習 為 隔 年 開 授 之 課 程, 此 高 等 課 程 實 習 項 目 之 內 容 較 具 彈 性, 以 教 導 學 生 如 何 使 用 設 計 工 具 與 發 揮 設 計 能 力 為 目 標 新 編 了 光 纖 光 放 大 器 模 擬 設 計 實 習 教 材, 包 含 摻 鉺 光 纖

More information

LED N700 OVP UVL UVL OVP N700 GPIB Ethernet/LAN USB 2.0 I/O 1 N700 2 Ethernet USB 2.0 GPIB 2

LED N700 OVP UVL UVL OVP N700 GPIB Ethernet/LAN USB 2.0 I/O 1 N700 2 Ethernet USB 2.0 GPIB 2 Agilent N700 N71A-9A, N70A-2A, N761A-69A, N770A-72A 2 70 W 100 W 600 V 180 A 1 U 8-26 Vac AC LAN,USB GPIB Agilent N700 1U 70W 100W 2 6V 600V 1.A 180A N700 1U 19 100W LED N700 OVP UVL UVL OVP N700 GPIB

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

穨2700使用手冊.doc

穨2700使用手冊.doc Keithley 2700 13 CH Avg Ratio continuity Offset Compensation Ohms 80 (differential) 6 (22 ) (Half-rack size) 1000V/3A isolation/input 50000 EEE-488 RS-232 Digital I/O Trigger Link ActiveX Start-up software

More information

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074>

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074> e-trace 132 1617 1872 p32-12 1/71 2/71 . GPS AIST 17 1-12 p32-39 3/71 GPS NMIJGPSGPS time GPS #N 1 GPS #N 2 GPS GPS #N 3 TA TA GPS _ time TA T T GPS _ time T T AB B A B T T T T ( T TB) B A B B A 4/71 16

More information

EUTECH INSTRUMENTS αlpha-con1000 / Conductivity Controller αlpha CON1000 MEAS ms o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL

EUTECH INSTRUMENTS αlpha-con1000 / Conductivity Controller αlpha CON1000 MEAS ms o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL EUTECH INSTRUMENTS αlpha-con1000 / Conductivity Controller αlpha CON1000 MEAS 8.08 25.0 ms o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL B αlpha-con1000 / αlpha-con 1000 / Eutech Eutech Eutech

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

untitled

untitled : TE251532 ( ) N7510, NDL-2755T CNS 13438 95 ( ) (SL2-IN/R1/R2/A1-E-0012) 101 11 22 102 12 12 01 ...iii...1 1....2 1.1...2 1.2...2 1.3...3 1.4...4 2....5 2.1...5 2.2...6 2.3...7 3....8 4....9 4.1...9 4.2...9

More information

IEC A( ) B C D II

IEC A( ) B C D II ICS 13.120 K 09 GB 4706.1 2005/IEC 60335-1:2004(Ed4.1) 1 Household and similar electrical appliances- Safety General requirements IEC60335-1 2004 Ed4.1,IDT 2005-08-26 2006-08-01 IEC 1 2 3 4 5 6 7 8 9 10

More information

Microsoft PowerPoint - TOHO Dust core and material.ppt

Microsoft PowerPoint - TOHO Dust core and material.ppt Soft magnetic materials of TOHO ZINC *Dust core? *Use dust core efficiently Toho Technical Center TOHO ZINC CO., LTD. Typical soft magnetic materials Comparison of dust core and ferrite Ferrite HK: Sendust

More information

untitled

untitled 2012/13 2012/13 Agilent 2012/13 (www.agilent.com/ find/mta) ,, 60 : PXI 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 2012/13 1 1 2 2 3 6 4 20 5 32 36 38 44 48 6 52 7 56 8 63 66 69 9 72 10 82 11 87

More information

画像処理に新しい価値を提供するUSB3.0カメラ(国際画像機器展2014)

画像処理に新しい価値を提供するUSB3.0カメラ(国際画像機器展2014) December 3, 2014 Toshiaki Iwata Copyright 2014 TOSHIBA TELI CORPORATION, All rights reserved. USB3.0 / USB3 Vision Copyright 2014 TOSHIBA TELI CORPORATION, All rights reserved. 2 Copyright 2014 TOSHIBA

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

FILTRON 1. DC AC AC 220V 50HZ 2. 1 1 1 3. / / / / 4. 1) 2 3 4 5 6 5. 6. 7. 8. 9. / 10. 1. 2. 3. 4. 5. 6. 7. DC AC FILTRON DC AC FILTRON DC 12V 12VDC D

FILTRON 1. DC AC AC 220V 50HZ 2. 1 1 1 3. / / / / 4. 1) 2 3 4 5 6 5. 6. 7. 8. 9. / 10. 1. 2. 3. 4. 5. 6. 7. DC AC FILTRON DC AC FILTRON DC 12V 12VDC D 2006 4 27 1 JY FILTRON 1. DC AC AC 220V 50HZ 2. 1 1 1 3. / / / / 4. 1) 2 3 4 5 6 5. 6. 7. 8. 9. / 10. 1. 2. 3. 4. 5. 6. 7. DC AC FILTRON DC AC FILTRON DC 12V 12VDC DC FILTRON AC 24VAC 24VAC AC 24VAC AC

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

國家圖書館典藏電子全文

國家圖書館典藏電子全文 I Abstract II III ... I Abstract...II...III... IV... VI 1...1 2...3 2-1...3 2-2...4 2-3...6 2-4...6 3...8 3-1...8 3-2...10 4...12 5...15 5-1...15 5-2...17 IV 5-3...18 6...21 6-1...21 6-2...22 6-3...22

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

untitled

untitled 索 1. 錄... 3 2.... 4 2.1... 4 2.2 ( 異 )... 5 2.3 輻... 6 2.4 量 不 度... 7 3.... 8 3.1... 8 3.2 輻 (30M~1GHZ)... 8 3.3 輻 (1GHZ~6GHZ)... 9 4.... 10 4.1... 10 4.2... 10 4.3 輻 (30MHZ-1GHZ)... 10 4.4 輻 (1GHZ-6GHZ)...

More information

DS1870 rev1 DS.C

DS1870 rev1 DS.C Rev 1; 5/4 AB LDMOS ( ) (LUT)256 A/D(ADC) LDMOS RF 13 ADCV CC V D I D1 I D2 ADC Hi/Lo 32 I 2 C TM 8 5V 16 TSSOP -4 C +95 C I 2 C Philips Corp. Maxim Integrated Products, Inc. I 2 C Philips I 2 C Philips

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information